虫虫首页|资源下载|资源专辑|精品软件
登录|注册

控制端口

  • 基于端口模式的CY7C68013固件程序设计

    本文介绍了基于USB单片机的弹载测量系统地面测试台的固件程序设计方法。地面测试台用来对弹载数据记录装置进行自检,在本测试台上采用EZ-USB FX2系列单片机CY7C68013来实现上位机与地面测试台间的通信,固件程序的功能包括产生测试台状态信号、下载各种信号源数据及进行实时监测数据回读。文中通过测试台的工程实例,详细介绍了端口模式下固件程序的编写流程,并给出了部分程序代码。

    标签: C68013 68013 CY7 CY

    上传时间: 2013-10-29

    上传用户:thesk123

  • 端口RS-232工业PC104多串口卡

    PCM-16xx系列工业多串口卡可以应用于传统的RS-232/422/485串行通讯领域,快速扩充PC机标准COM通讯端口的数量。兼容PC/104规范,即插即用;集成4个串行通讯端口;同一PC可安装多达8块同型号卡;RS-232端口最高速率可达921.6Kbps;PCM-16xx系列工业多串口卡提供2~8个RS-232/422/485通讯端口,每个端口的通讯速率可以高达921.64Kbps。多串口卡采用工业级设计,每一个通讯端口都集成防浪涌30KV ESD保护,可选的高速电气隔离保护。同一PC最多可安装8块同一型号的PCM-16xx工业多串口卡。

    标签: 232 104 RS PC

    上传时间: 2013-11-07

    上传用户:zl5712176

  • 基于Quartus II免费IP核的双端口RAM设计实例

      QuartusII中利用免费IP核的设计   作者:雷达室   以设计双端口RAM为例说明。   Step1:打开QuartusII,选择File—New Project Wizard,创建新工程,出现图示对话框,点击Next;

    标签: Quartus RAM IP核 双端口

    上传时间: 2014-12-28

    上传用户:fghygef

  • 基于Actel FPGA的双端口RAM设计

    基于Actel FPGA 的双端口RAM 设计双端口RAM 芯片主要应用于高速率、高可靠性、对实时性要求高的场合,如实现DSP与PCI 总线芯片之间的数据交换接口电路等。但普通双端口RAM 最大的缺点是在两个CPU发生竞争时,有一方CPU 必须等待,因而降低了访问效率。IDT 公司推出的专用双端口RAM 芯片解决了普通双端口RAM 内部竞争问题,并融合了中断、旗语、主从功能。它具有存取速度快、功耗低、可完全异步操作、接口电路简单等优点,但缺点也非常明显,那就是价格太昂贵。为解决IDT 专用双端口RAM 芯片的价格过高问题,广州致远电子有限公司推出了一种全新的基于Actel FPGA 的双端口RAM 的解决方案。该方案采用Actel FPGA 实现,不仅具有IDT 专用双端口RAM 芯片的所有性能特点,更是在价格上得到了很大改善,以A3P060双端口RAM 为例,在相同容量(2K 字节)下,其价格仅为IDT 专用芯片的六分之一。

    标签: Actel FPGA RAM 双端口

    上传时间: 2013-10-22

    上传用户:blacklee

  • 基于PICMG 2.16的24端口千兆以太网交换模块

    基于PICMG 2.16的24端口千兆以太网交换模块

    标签: PICMG 2.16 端口 千兆以太网

    上传时间: 2013-10-12

    上传用户:叶立炫95

  • 各种ADSL路由器端口映射设置

    各种ADSL路由器端口映射设置

    标签: ADSL 路由器 端口 映射

    上传时间: 2013-12-25

    上传用户:671145514

  • AnyWhere端口组(功能部件)驱动编写范例

    AnyWhere是广州致远电子技术有限公司(以下简称本公司)为解决当前嵌入式系统研发所面临的困境所提出的创新的编程模式,是面向设备的编程模式。AnyWhere面向设备的编程模式是由面向API的编程模式和面向端口的编程模式继承发展而来的,具有两者的优点,避免了各自的缺点,同时极大地增强了组网能力。

    标签: AnyWhere 端口 部件 编写

    上传时间: 2013-11-01

    上传用户:suicone

  • 用二端口S参数来表征差分电路的特性

    用二端口S-参数来表征差分电路的特性■ Sam Belkin差分电路结构因其更好的增益,二阶线性度,突出的抗杂散响应以及抗躁声性能而越来越多地被人们采用。这种电路结构通常需要一个与单端电路相连接的界面,而这个界面常常是采用“巴伦”器件(Balun),这种巴伦器件提供了平衡结构-到-不平衡结构的转换功能。要通过直接测量的方式来表征平衡电路特性的话,通常需要使用昂贵的四端口矢量网络分析仪。射频应用工程师还需要确定幅值和相位的不平衡是如何影响差分电路性能的。遗憾的是,在射频技术文献中,很难找到一种能表征电路特性以及衡量不平衡结构所产生影响的好的评估方法。这篇文章的目的就是要帮助射频应用工程师们通过使用常规的单端二端口矢量网络分析仪来准确可靠地解决作为他们日常工作的差分电路特性的测量问题。本文介绍了一些用来表征差分电路特性的实用和有效的方法, 特别是差分电压,共模抑制(CMRR),插入损耗以及基于二端口S-参数的差分阻抗。差分和共模信号在差分电路中有两种主要的信号类型:差分模式或差分电压Vdiff 和共模电压Vcm(见图2)。它们各自的定义如下[1]:• 差分信号是施加在平衡的3 端子系统中未接地的两个端子之上的• 共模信号是相等地施加在平衡放大器或其它差分器件的未接地的端子之上。

    标签: 二端口 S参数 差分电路

    上传时间: 2013-10-14

    上传用户:叶山豪

  • 基于Quartus II免费IP核的双端口RAM设计实例

      QuartusII中利用免费IP核的设计   作者:雷达室   以设计双端口RAM为例说明。   Step1:打开QuartusII,选择File—New Project Wizard,创建新工程,出现图示对话框,点击Next;

    标签: Quartus RAM IP核 双端口

    上传时间: 2013-10-18

    上传用户:909000580

  • 基于Actel FPGA的双端口RAM设计

    基于Actel FPGA 的双端口RAM 设计双端口RAM 芯片主要应用于高速率、高可靠性、对实时性要求高的场合,如实现DSP与PCI 总线芯片之间的数据交换接口电路等。但普通双端口RAM 最大的缺点是在两个CPU发生竞争时,有一方CPU 必须等待,因而降低了访问效率。IDT 公司推出的专用双端口RAM 芯片解决了普通双端口RAM 内部竞争问题,并融合了中断、旗语、主从功能。它具有存取速度快、功耗低、可完全异步操作、接口电路简单等优点,但缺点也非常明显,那就是价格太昂贵。为解决IDT 专用双端口RAM 芯片的价格过高问题,广州致远电子有限公司推出了一种全新的基于Actel FPGA 的双端口RAM 的解决方案。该方案采用Actel FPGA 实现,不仅具有IDT 专用双端口RAM 芯片的所有性能特点,更是在价格上得到了很大改善,以A3P060双端口RAM 为例,在相同容量(2K 字节)下,其价格仅为IDT 专用芯片的六分之一。

    标签: Actel FPGA RAM 双端口

    上传时间: 2013-10-19

    上传用户:18165383642