虫虫首页|资源下载|资源专辑|精品软件
登录|注册

声源

  • 在DELPHI中实现左右声道转换的源码,调用MCI避免了某一声道不出声的尴尬

    在DELPHI中实现左右声道转换的源码,调用MCI避免了某一声道不出声的尴尬

    标签: DELPHI MCI 声道 转换

    上传时间: 2013-12-19

    上传用户:TRIFCT

  • 声控小车.rar

    凌阳单片机声控小车源码

    标签: 声控

    上传时间: 2013-07-01

    上传用户:GHF

  • gal16v8及gal20v8的编译程序源码

    gal16v8及gal20v8的编译程序源码,小日本写的,用optasm编译(该编译软件我也没找到,手上有的朋友请告诉一声,兄弟感激不尽),可以将eqn格式的文件编译成jed格式的文件

    标签: gal v8 16v 20v

    上传时间: 2013-11-25

    上传用户:xzt

  • 基于linux kernel 2.6.20的UDA1341声音芯片的声卡驱动

    基于linux kernel 2.6.20的UDA1341声音芯片的声卡驱动,在s3c2410 cpu下调试通过。 使用方法:源码树的根下新建local目录,并将其解压缩到该目录,修改源码树根下的Makefile文件的559行为: vmlinux-dirs := $(patsubst %/,%,$(filter %/, $(init-y) $(init-m) $(core-y) $(core-m) $(drivers-y) $(drivers-m) $(net-y) $(net-m) $(libs-y) $(libs-m))) local/ 就可以编译了,不过是模块编译,编译好了之后不要忘了insmod进内核啊

    标签: kernel linux 1341 UDA

    上传时间: 2015-12-26

    上传用户:小宝爱考拉

  • 采用有限元离散计算一维声子、光子能带

    采用有限元离散计算一维声子、光子能带,参数见文件源码内

    标签: 有限元 光子 离散 计算

    上传时间: 2016-05-15

    上传用户:klin3139

  • linux下通讯软件skype的源码安装包

    linux下通讯软件skype的源码安装包,用gunzip解压后即可使用。若不出声,需选择选项—声音设置中的pulse驱动

    标签: linux skype 通讯 软件

    上传时间: 2017-08-24

    上传用户:123啊

  • labview基于pc声卡的多功能函数发生器。可通过pc声卡声道输出

    labview基于pc声卡的多功能函数发生器。可通过pc声卡声道输出,可以充当信号源

    标签: labview 声卡 函数发生器 多功能

    上传时间: 2017-09-26

    上传用户:hwl453472107

  • 51单片机入门教程30课软件工程源码+文档说明

    51单片机入门教程30课软件工程源码+文档说明51单片机入门例程TXT第01课,了解单片机及单片机的控制原理和DX516的用法,控制一个L第02课,用指令方式延时闪烁LED灯第03课,跑马灯试验第04课,读IO,用按钮控制点灯第05课,标记的用法,用一个按键控制1个LED灯的亮灭第06课,用定时器中断闪灯,定时器中断的学习第07课,精确定时1秒钟闪灯第08课,定时器中断跑马灯第09课,自动变速的跑马灯试验第10课,4个按键4级变速的跑马灯试验第11课,一个按键控制的10级变速跑马灯试验第12课,可编程自动控制跑马灯第13课,用外中断方式读按键,控制灯的亮灭第14课,模拟PWM输出控制灯的10个亮度级别第15课,写一个字节到24c02中第16课,读一个24c02字节,读出上一课写入的字节来验证第17课,写入按键次数到24c02,并读出来显示在4个LED上第18课,嘀声报警信号输出试验第19课,嘀嘀嘀间断声光报警信号试验第20课,变频声救护车报警信号输出试验第21课,按键音试验第22课,音阶声音输出试验第23课,按键控制音阶声音输出(电子琴)第24课,单个按键控制多个音阶声音输出第25课,乐谱方式输入的音乐播放第26课,亮灯倒计时10秒,开始播放音乐第27课,三个按键选择三首不同的音乐播放第28课,一个按键选择播放六首音乐第29课,本课试验写老鼠爱大米的乐谱到24c02中第30课,从24c02中读出音乐来并播放音乐

    标签: 51单片机

    上传时间: 2021-11-09

    上传用户:kid1423

  • VIP专区-嵌入式/单片机编程源码精选合集系列(40)

    VIP专区-嵌入式/单片机编程源码精选合集系列(40)资源包含以下内容:1. epson公司的一个关于s1d13706的低层驱动程序.2. ads1.2的一个工程.3. 一些FPGA的扩展电路原理图.4. 一些DSP的c语言源程序.5. 凌阳单片机声控小车源码,对于想开发声控小车的人来说,是相当有用的.6. ATMEL的下载线制作和下载软件,有需要的可以来看.7. 一个比较老的C语言编译器,WAVE的老板本仿真器只能用他.8. LM8361、TMS3450、TMS1943数字钟电路.9. 该程序代码实现对Flash Rom AM29LV160烧写数据的功能.10. 嵌入PIC16F77的激光传感器程序RS485输出.11. PIC16F77单片机I2C读写程序.12. 这是家用摄像头的源程序.13. lwIP is a small independent implementation of the TCP/IP protocol suite that has been developed by.14. 详细的MiniGUI源代码.15. 日本的嵌入式实时操作系统UITRON3.0 该系统以得到几十亿片的应用业绩.16. IEC61131-3标准说明.17. 一线通讯协议的c51例子程序源代码.18. C字符串底层函数的实现。对一些想了解C的低层函数的人很有帮助.19. 使用vhdl语言编写的交通灯控制程序.20. 使用C语言编写的单片机控制LCD显示程序.21. 此程序是一底层开发驱动代码,里面包括了I2C芯片(MAX5417)及1 wire sensor、AD驱动的源代码!稍作改动就能用于自己的产品开发中!.22. 这是利用Mifare SDK开发的读写基于ISO14443标准RF卡的程序。.23. 这是用于Mifare卡开发的programmer reference和DLL文件.24. C51单片机用C语言编写的SPI例程.25. CPLD7256的例子程序.26. SUMSUNG S3C44B0X ARM7的一个RTC实时时钟的显示和修改的例程.27. 哈工大智能电动车软件的源代码.28. 这是有关单总线数字温度芯片DS18B20的keilC和ASM汇编的混合编程.29. 这是一个在51单片机上用汇编实现的除法程序。.30. Chapter 5 "Construction case with USB host system that handles USB controller SL811" sample progra.31. "TCP/IP + Ethernet network equipment design method" sample program.32. JAPAN "RTOS technology that learns with TOPPERS".33. JAPAN RTOS TOPPERS/JSP kernel 1.4 PreRelease simulation environment JSP kernel Windows simulation.34. 键盘扫描程序.35. pcb EMI 资料很好 是英文资料.36. PCB EMI 的防护的资料是英文的.37. pcb EMI 的防护的资料.38. pcb EMI 的防护的资料.39. pcb EMI 的防护的资料.40. pcb EMI 的防护的资料.

    标签: 液压系统 模拟机

    上传时间: 2013-06-11

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(62)

    VIP专区-嵌入式/单片机编程源码精选合集系列(62)资源包含以下内容:1. pci8360v jiemianchengxu jimokuai.2. 做以太网时可能有帮助的!其中是些原理图和使用说明.3. 5000系列DSP实验常用程序.4. FPGA/CPLD集成开发环境ISE的使用详解 示例代码1.5. FPGA/CPLD集成开发环境ISE使用详解实例-2.6. FPGA/CPLD集成开发环境ISE使用详解实例-3.7. FPGA/CPLD集成开发环境ISE使用详解实例-4.8. FPGA/CPLD集成开发环境ISE使用详解实例-5.9. FPGA/CPLD集成开发环境ISE使用详解实例-6.10. 最简单的在C语言中嵌入汇编语言的方法实现汇编与C的衔接.11. 几种实现电机调速方法的源程序.12. TMS320F2812全套原理图.13. STR712评估板的电路原理图.14. STR71x开发板上做AD转换的代码.15. EVC实现LCD屏.16. LPC2148 通过 CH375 读写U盘的例子.17. 飞利浦ARM芯片LPC2148的PROTEL格式的原理图.18. 实现基于CPLD的CCD采集系统设计源码.19. USB2.0 高速OTG控制芯片isp1761的stack.20. STR71系列ARM例子程序源代码.21. MCU51_CPLD开发板电路图。在整个200M的开发资料中感觉这副电路图纸最重要.22. str912芯片的串口烧写程序源代码.23. atmel关于SPI方面的PPT中文版本 估计对大家有用.24. URM3751距离测量模板驱动程序,最近到0.5CM,精度0.1CM.25. 通过计算不了1S内下降沿个数,达到测频目的..26. 红外程序.27. 很好c语言编写的pid算法,具有很好的参考价值.28. 用于CPLD的控制.29. 汉字点阵源码 汉字点阵源码 汉字点阵源码.30. 自动报警系统 自动报警系统 自动报警系统.31. usb原理图可以进行usb仿真应该是很好用的.32. 基于AT91SAM7A3的usb鼠标源代码。在keil for arm 3.1上运行通过。希望对需要的同行有所帮助。.33. 豆浆机开发相关资料.34. 在VS2005环境中,可以实现蓝牙的搜索.35. maxim的AD191的AD采样控制程序.36. UART nios中文使用说明,使用的是uart的控制的基本指令而不是用的基本输入输出命令.37. 1、链接存储方法  链接方式存储的线性表简称为链表(Linked List)。  链表的具体存储表示为:   ① 用一组任意的存储单元来存放线性表的结点(这组存储单元既可以是连续的.38. philips i2c turner fi1256.39. I2C协议的小程序.40. 一款朋友自制的USB声卡.

    标签: 机械制图 国家标准

    上传时间: 2013-06-29

    上传用户:eeworm