虫虫首页|资源下载|资源专辑|精品软件
登录|注册

前端模块

  • 高清视频编解码系统控制模块设计

    在航空航天,遥感测量,安全防卫以及家用影视娱乐等领域,要求能及时保存高清晰度的视频信号供后期分析、处理、研究和欣赏。因此,研究一套处理速度快,性能可靠,使用方便,符合行业相关规范的高清视频编解码系统是十分必要的。 本文首先介绍了高清视频的发展历史。并就当前相关领域的发展阐述了高清视频编解码系统的设计思路,提出了可行的系统设计方案。基于H.264的高清视频编码系统对处理器的要求非常高,一般的DSP和通用处理器难以达到性能要求。本系统选择富士通公司最新的专用视频编解码芯片MB86H51,实时编解码分辨率达到1080p的高清视频。芯片具有压缩率高,功耗低,体积小等优点。系统的控制设备由三块FPGA芯片和ARM控制器共同完成。FPGA芯片分别负责视频输入输出,码流输入输出和主编解码芯片的控制。ARM作为上层人机交互的控制器,向系统使用者提供操作界面,并与主控FPGA相连。方案实现了高清视频的输入,实时编码和码流存储输出等功能于一体,能够编码1080p的高清视频并存储在硬盘中。系统开发的工作难点在于FPGA的程序设计与调试工作。其次,详细介绍了FPGA在系统中的功能实现,使用的方法和程序设计。使用VHDL语言编程实现I2C总线接口和接口控制功能,利用stratix系列FPGA内置的M4K快速存储单元实现128K的命令存储ROM,并对设计元件模块化,方便今后的功能扩展。编程实现了PIO模式的硬盘读写和SDRAM接口控制功能,实现高速的数据存储功能。利用时序状态机编程实现主芯片编解码控制功能,完成编解码命令的发送和状态读取,并对设计思路,调试结果和FPGA资源使用情况进行分析。着重介绍设计中用到的最新芯片及其工作方式,分析设计过程中使用的最新技术和方法。有很强的实用价值。最后,论文对系统就不同的使用情况提出了可供改进的方案,并对与高清视频相关的关键技术作了分析和展望。

    标签: 高清视频 编解码 系统控制 模块设计

    上传时间: 2013-07-26

    上传用户:shanml

  • 基于FPGA的软件无线电DDC设计

    软件无线电DDC(数字下变频)系统作为前端ADC与后端通用DSP器件之间的桥梁,通过降低数据流的速率,把低速数据送给后端通用DSP器件进行处理,其性能的优劣将对整个软件无线电系统的稳定性产生直接影响。采用专用DDC芯片完成数字下变频,虽然具有抽取比大、性能稳定等优点,但价格昂贵,灵活性不强,不能充分体现软件无线电的优势。FPGA工艺发展迅速,处理能力大大增强,相对于ASIC、DSP来说具有吞吐量高、开发周期短、可实现在线重构等诸多优势。正因为这些优点,使得FPGA在软件无线电的研究和开发中起着越来越重要的作用。 本次设计的目标是在一块FPGA芯片上实现单通道数字下变频系统。现阶段主要对软件无线电数字下变频器的FPGA实现方法进行了研究分析,重点完成了其主要模块的设计和仿真以及初步的系统级验证。 论文首先对软件无线电数字下变频的国内外现状进行了分析,然后对FPGA实现数字下变频设计的优势作了阐述。在对软件无线电理论基础、数字信号处理的相关知识深入研究的基础上重点研究软件无线电数字下变频技术。对数字下变频的NCO、混频、CIC、HB、FIR模块的实现方法进行深入研究,在:MATLAB中设定整体系统方案、完成模块划分和接口定义,并对部分模块建立数学模型并仿真、对模块的性能进行优化。从数字下变频的系统层次上考虑了各模块彼此问的性能制约,从而选择合理配置、优化系统结构以获得模块间的性能均衡和系统性能的最优化。最后通过使用编写'Verilog程序和调用部分lP Core相结合的方法完成数字下变频各个模块的设计并完成仿真和调试。结果表明设计的思想和结构是正确的,在下一步工作中主要完成系统的板级调试。

    标签: FPGA DDC 软件无线电

    上传时间: 2013-04-24

    上传用户:隐界最新

  • 基于FPGA的高分辨率图像采集卡

    随着计算机科学和视频技术的广泛发展,数字图像采集在电子通信与信息处理领域得到了广泛的应用,例如广播电视的数字化、网络视频、监视监控系统等. 视频图像采集卡作为计算机视频应用的前端设备,承担着模拟视频信号向数字视频信号转换的任务,在多媒体时代占据着重要的位置.设计一种功能灵活,使用方便,便于嵌入到系统中的视频信号采集电路具有重要的实用意义. 本文首先介绍数字图像采集系统的发展现状和前景,提出了本次设计的目标: 完成基于PCI总线的高分辨率图像采集卡设计.然后简单介绍了本次设计用到的基本理论:数据采集理论,特别说明了采样和量化的定义与区别,以及量化的几种方式和量化与AD技术之间的关系. 图像采集系统的基本构成,是以数字信号处理器为核心,控制外围的A/D、D/A转换器和外围存储器.本文对比了当下流行的DSP芯片和IFPGA芯片作为数字处理核心的优缺点,并根据系统实际需要,选用FPGA作为数字信号处理器.然后列举了几款常用A/D视频芯片,还介绍了SDRAM控制的基本流程,最后提出了系统的整体设计方案. 图像采集卡的硬件设计分为A/D前端模拟通道设计和FPGA数字信号传输及外围电路设计.本文重点介绍了A/D芯片外围电路连接和使用方法,对PCI总线和它的控制电路也做了详细阐述.对图像采集卡的PCB布局布线也有详细说明. 图像采集卡FPGA内部程序构成也是本文的一个重点.本次的程序设计主要分为数据采集模块,即与A/D接口模块,数据暂存模块,即SDRAM读写控制模块,数据处理模块和数据传输模块,即PCI控制模块.重点在于对的SDRAM的连续读写控制和各个模块间的协调工作.说明了.A/D采集数据从接收到存储详细过程,以及对SDRAM读写状态机和PCI总线的操控. 最后介绍了硬件调试和FPGA程序验证结果.详细说明了以Modelsim为平台的前端功能仿真和后端时序仿真,以及以SignalTapⅡ为平台,程序下载到FPGA中进行的实时验证.结果表明整个图像采集系统基本达到了系统设计中所给出的性能指标,证明了整个系统设计的正确性和合理性.

    标签: FPGA 高分辨率 图像采集卡

    上传时间: 2013-04-24

    上传用户:amandacool

  • 基于FPGA的高速实时数字存储示波器

    数字存储示波器(DSO)上世纪八十年代开始出现,由于当时它的带宽和分辨率较低,实时性较差,没有具备模拟示波器的某些特点,因此并没有受到人们的重视。随着数字电路、大规模集成电路及微处理器技术的发展,尤其是高速模/数(A/D)转换器及半导体存储器(RAM)的发展,数字存储示波器的采样速率和实时性能得到了很大的提高,在工程测量中,越来越多的工程师用DSO来替代模拟示波器。 本文介绍了一款双通道采样速率达1GHz,分辨率为8Bits,实时带宽为200MHz数字存储示波器的研制。通过对具体功能和技术指标的分析,提出了FPGA+ARM架构的技术方案。然后,本文分模块详细叙述了整机系统中部分模块,包括前端高速A/D转换器和FPGA的硬件模块设计,数据处理模块软件的设计,以及DSO的GPIB扩展接口逻辑模块的设计。 本文在分析了传统DSO架构的基础上,提出了本系统的设计思想和实现方案。在高速A/D选择上,国家半导体公司2005年推出的双通道采样速率达500MHz高速A/D转换器芯片ADC08D500,利用其双边沿采样模式(DES)实现对单通道1GHz的采样速率,并且用Xilinx公司Spraten-3E系列FPGA作为数据缓冲单元和存储单元,提高了系统的集成度和稳定性。其中,FPGA缓冲单元完成对不同时基情况下多通道数据的抽取,处理单元完成对数据正弦内插的计算,而DSO中其余数据处理功能包括数字滤波和FFT设计在后端的ARM内完成。DSO中常用的GPIB接口放在FPGA内集成,不仅充分利用了FPGA内丰富的逻辑资源,而且降低了整机成本,也减少了电路规模。 最后,利用ChipscopePro工具对采样系统进行调试,并分析了数据中的坏数据产生的原因,提出了解决方案, 并给出了FPGA接收高速A/D的正确数据。

    标签: FPGA 高速实时数 字存储 示波器

    上传时间: 2013-07-07

    上传用户:asdkin

  • 基于FPGA的机器人视频监视系统

    随着电子科学、图像传输处理技术与理论的迅速发展,机器人视频监控技术的实际研究与应用曰益得到重视,并不断地在许多领域取得骄人的成果。特别是近年来,机器人视频监控技术已成为高技术领域一个重要的研究课题。 本论文详细介绍了一种机器人视频监视系统的设计方案,实现了具有前端视频采集、图像传输处理功能的FPGA系统。该系统采用Altera公司的FPGA芯片作为中央处理器,由视频采集模块、异步FIFO模块、I

    标签: FPGA 机器人 视频监视系统

    上传时间: 2013-07-20

    上传用户:ybysp008

  • 基于FPGA的指纹识别模块设计

    随着 EDA 技术及微电子技术的飞速发展,现场可编程门阵列(Field Programmable Gate Array,简称 FPGA)的性能有了大幅度的提高,FPGA的设计水平也达到了一个新的高度。基于FPGA的嵌入式系统设计为现代电子产品设计带来了更大的灵活性,以Nios Ⅱ软核处理器为核心的SOPC(System on Programmable Chip)系统便是把嵌入式系统应用在FPGA上的典型例子,本文设计的指纹识别模块就是基于FPGA的Nios Ⅱ处理器为核心的SOPC设计。通过IP核技术和灵活的软硬件编程,实现Nios Ⅱ对FPGA外围器件的控制,并对指纹处理算法进行了改进,研究了指纹识别算法到Nios Ⅱ系统的移植。 本文首先阐述了指纹识别模块的SOPC设计方案,然后是对模块的详细设计。在硬件方面,完成了指纹识别模块的 FPGA 硬件设计,包括 FPGA 内部的Nios Ⅱ系统硬件设计和 FPGA 外围电路设计。前者利用 SOPC Builder将Nios Ⅱ处理器、指纹读取接口 UART、键盘与LCD显示接口、FLASH接口、SDRAM控制器构建成NiosⅡ硬件系统,后者是电源和时钟电路、SDRAM存储器电路、FLASH存储器电路、LCD显示电路、指纹传感器电路、FPGA 配置电路这些纯实物硬件设计,给出了设计方法和电路连接图。 在软件方面,包括下面两个内容: 完成 FPGA 外围器件程序设计,实现对外围器件的操作。 深入的研究了指纹识别算法。对指纹图像识别算法中的指纹图像滤波和匹配算法进行了分析,提出了指纹图像增强改进算法和匹配改进算法,通过试验,改进后的指纹图像滤波算法取得了较好的指纹图像增强效果。改进后的匹配算法速度较快,误识率较低。最后研究了指纹识别算法如何在FPGA中的Nios Ⅱ系统的实现。

    标签: FPGA 指纹识别 模块设计

    上传时间: 2013-06-12

    上传用户:yx007699

  • 基于FPGA实现DVBS信道编码及调制

    DVB-S(Digital Video Broadcasting bv Satellite)调制器是符合DVB-S协议的数字电视前端设备之一,也满足我国数字电视卫星广播标准,该设备可以广泛应用于数字电视卫星业务和相关数字电视业务。本文主要阐述了基于FPGA实现DVB-S调制器的信道编码和调制,按功能对DVB-S信道编码过程进行模块分解、模块接口定义,针对每个模块进行工作原理分析、算法分析、HDL描述、时序仿真及FPGA实现;DVB-S调制器的核心是信道编码和调制部分,利用FPGA在数字信号处理方面的优势,本文重点对其中的几个关键模块,包括RS编码、卷积交织器和卷积穿孔编码等的实现算法进行了比较详细的分析,并通过HDL描述和时序仿真来验证算法正确性;对FPGA各模块的资源进行了估计、利用Altera公司的Cyclone器件的内部锁相环实现ASI信号的接收;最后对整机进行了测试,测试结果表明,本文设计的DVB-S调制器技术指标满足设计要求。

    标签: FPGA DVBS 信道编码 调制

    上传时间: 2013-04-24

    上传用户:gmh1314

  • 屏上显示模块的FPGA实现

    随着数字电视技术的飞速发展,数字机顶盒已成为现在模拟电视收看数字电视节目必不可少的设备。而数字机顶盒需要在解码后的模拟视频信号上加入屏幕显示信息(如亮度、色度、信息服务菜单等)以提供给观众良好的界面和灵活的人机交互。 v屏幕显示系统(OSG,On-Screen-Graphics)解决了现有模拟电视无法实现的叠加屏幕显示信息的问题,提供同步输出叠加有各种图形、文字的电视节目图像的功能,其中最主要的部分是OSD(On-Screen-Display),即屏幕显示单元。OSD将叠加的位图图像分为多个OSD块,一般定义为矩形区域。每个矩形区域,例如台标、参数调节框、字幕等,都有独立的4色、16色或256色颜色查找表。同时OSG系统也支持真彩模式。OSD块经由编码/混合器与视频图像进行alpha混合后输出到电视屏幕上。 本文详细介绍了应用FPGA设计包括屏幕显示单元在内的OSG系统的思路和设计过程,描述了模块的划分与功能仿真。在论文前半部分,本文给出了图文屏幕显示系统各子单元的工作流程,接着论文的后半部分,给出了详细的模块接口说明和硬件实现。

    标签: FPGA 显示模块

    上传时间: 2013-07-26

    上传用户:万有引力

  • MSP430单片机常用模块与综合系统

    MSP430单片机常用模块与综合系统实例精讲,MSP430单片机常用模块与综合系统实例精讲,MSP430单片机常用模块与综合系统实例精讲

    标签: MSP 430 单片机常用 模块

    上传时间: 2013-07-20

    上传用户:ma1301115706

  • FPGA装箱和划分算法研究

    随着集成电路的设计规模越来越大,FPGA为了满足这种设计需求,其规模也越做越大,传统平面结构的FPGA无法满足实际设计需求。首先是硬件设计上的很难控制,其次就是计算机软件面临很大挑战,所有复杂问题全部集中到布局布线(P&R)这一步,而实际软件处理过程中,P&R所占的时间比例是相当大的。为了缓解这种软件和硬件的设计压力,多层次化结构的FPGA得以采用。所谓层次化就是可配置逻辑单元内部包含多个逻辑单元(相对于传统的单一逻辑单元),并且内部的逻辑单元之间共享连线资源,这种结构有利于减少芯片面积和提高布通率。与此同时,FPGA的EDA设计流程也多了一步,那就是在工艺映射和布局之间增加了基本逻辑单元的装箱步骤,该步骤既可以认为是工艺映射的后处理,也可认为是布局和布线模块的预处理,这一步不仅需要考虑打包,还要考虑布线资源的问题。装箱作为连接软件前端和后端之间的桥梁,该步骤对FPGA的性能影响是相当大的。 本文通过研究和分析影响芯片步通率的各种因素,提出新的FPGA装箱算法,可以同时减少装箱后可配置逻辑单元(CLB)外部的线网数和外部使用的引脚数,从而达到减少布线所需的通道数。该算法和以前的算法相比较,无论从面积,还是通道数方面都有一定的改进。算法的时间复杂度仍然是线性的。与此同时本文还对FPGA的可配置逻辑单元内部连线资源做了分析,如何设计可配置逻辑单元内部的连线资源来达到即减少面积又保证芯片的步通率,同时还可以提高运行速度。 另外,本文还提出将电路分解成为多块,分别下载到各个芯片的解决方案。以解决FPGA由于容量限制,而无法实现某些特定电路原型验证。该算法综合考虑影响多块芯片性能的各个因数,采用较好的目标函数来达到较优结果。

    标签: FPGA 划分算法

    上传时间: 2013-04-24

    上传用户:zhaoq123