虫虫首页|资源下载|资源专辑|精品软件
登录|注册

sigma

  • 核函数矩阵

    建立了一个用于求解sigma的核函数矩阵,全部的测试数据点为一行数据,This matrix should be positive definite if the kernel function

    标签: 核函数

    上传时间: 2016-04-01

    上传用户:guokai626

  • 基于python的分子动力学模拟程序编写及应用

    本文利用python编写了分子动力学模拟程序,并利用该程序对He分子体系进行了详细研究。分别研究了不同初始条件,不同边界条件,截断点位置等的研究。在分子数为500,分子初速度为500的初始条件下,体系最终经过2.25e-11s发展成为麦克斯韦平衡体系。分别研究周期性边界条件与刚性边界对系统发展的影响,研究发现周期性边界条件与刚性边界条件最终对系统的发展没有明显影响。对截断点进行研究后发现,必须选取大于1.1*sigma(sigma为L-J势能中的常数,由实验可测定)作为截断,如果小于该值,体系由于数值误差将偏离物理实际。同时研究发现势函数对于体系的发展也具有重要影响。

    标签: python

    上传时间: 2022-06-18

    上传用户:shjgzh

  • 宏康HY16F188电子血压计方案

    基于台系宏康HYCON HY16F188 内部的高精度sigma-delta ADC 用示波法和臂式量测方式对血压脉搏进行量测,测试数据表明:用该方法实现的电子血压计具有测量精度高、抗干扰能力强、使用简便,成本低等特点,适合作为保健类仪器大批量生产。

    标签: 电子血压计 HY16F188 方案

    上传时间: 2022-06-22

    上传用户:ibeikeleilei

  • ADC的分类比较及性能指标

    1A/D转换器的分类与比较AD转换器(ADC)是模拟系统与数字系统接口的关键部件,长期以米一直被广泛应用于雷达、通信、电子对抗、声纳、卫星、导弹、测控系统、地震、医疗、仪器仪表、图像和音频等领域。随者计算机和通信产业的迅猛发展,进一步推动了ADC在便携式设备上的应用并使其有了长足进步,ADC正逐步向高速、高精度和低功耗的方向发展。通常,AD转换器具有三个基本功能:采样、量化和编码。如何实现这三个功能,决定了AD转换器的电路结构和工作性能。AD转换器的分类很多,按采样频率可划分为奈奎斯特采样ADC和过采样ADC,奈奎斯特采样ADC又可划分为高速ADC、中速ADC和低速ADC:按性能划分为高速ADC和高精度ADC:按结构划分为串行ADC、并行ADC和串并行ADC.在频率范围内还可以按电路结构细分为更多种类。中低速ADC可分为积分型ADC、过采样sigma-Delta型 ADC、逐次逼近型ADC,Algonithmic ADC:高速ADC可以分为闪电式ADC、两步型ADC、流水线ADC、内插性ADC、折叠型ADC和时间交织型ADC,下面主要介绍几种常用的、应用最广泛的ADC结构,它们是:逐次比较式(SAR)ADC、快闪式(Flash)ADC、折叠插入式(Fol ding&Interpolation)ADC、流水线式(Pipelined)ADC和-A型A/D转换器。

    标签: adc

    上传时间: 2022-06-22

    上传用户:xsr1983

  • VIP专区-嵌入式/单片机编程源码精选合集系列(88)

    VIP专区-嵌入式/单片机编程源码精选合集系列(88)资源包含以下内容:1. 计算机并口转3路串行DA的DLL源码及电路,芯片MAX541/MAX5541,可以免费申请,8路I/O输出,5路输入,并口的简单极限应用.经使用各项性能不错,就是DA抗干扰较差,没有较大的电磁干扰运行.2. arm9上测试串口代码.3. I2C controller verilog code for altera fpga platform..4. sigma-delta ADC轉換之matlab模型.5. NiosII的Flash编程指南(www.sopc.net.cn).6. 楼宇门禁对讲机主机原理图.7. 开发环境.8. 开发环境WAVE6000.9. vb环境下用动态规划方法编的0/1背包问题.10. 该实验设计模60计数器.11. 嵌入式课程设计 页面置换算法模拟 列出缺页缺页次数和缺页率.12. DSP系列中的TMS320F2812 ADC范例程序.13. TMS320F2812 GPIO_input范例程序.14. TMS320F2812 SPI_FFDLB范例程序.15. 指令集模拟器.16. s3c44b0x的一些相关芯片资料,是官方的pdf格式,很有用.17. 大屏320240的C语言测试程序,已验证通过,请放心使用.18. 最新火热的CX32 源代码.19. 关于台湾新茂SM5964 I2C的程序.20. gps开发专用的源代码.21. Moore型状态机设计,基于VHDL.能够根据微处理器的读写周期,分别对应存储器输出写使能WE和读使能OE信号..22. 文介绍一款用AT89C51和串行ROM 制作的电子密码锁.23. 总线控制器 altera提供的FPGA源代码.24. bios嵌入DOS操作系统,可以先编译romos.asm制作成BIN文件,加载至BIOS的ISA模块.另外还有制作工具.25. wt89c51 watch dog proce.26. 瑞萨H8系列芯片内置rom读写测试.27. Opencore提供的I2C代码.28. 译码器的逻辑功能是将已赋予特定含义的一组二进制输入代码的原意"翻译"出来,变成对应的输出高低电平信号.该程序为3-8译码器.基于VHDL,其开发环境是MAXPLUS2..29. 驱动SOLOMON的SSD182.30. 驱动三星的KS0107/KS0108.31. 驱动ULTRCHIP的UC1682.32. 是一个带PROTEUS仿真的8路数字电压表.33. SSD3的练习6的答案.快期末考试了.34. S3C2410A 的存储器控制器提供访问外部存储器所需要的存储器控制信号。 S3C2410A 的存储器控制器有以下的特性:.35. 这是一个三星44b0的中文文档.36. 这个arm7嵌入式蕊版的起动代码.37. 这个代码是用ADS1.2平台开发的一个mp3播放器。.38. 该程序能够对信号进行OFDM处理.39. 在网上看见很多人用DM413.40. 介绍了CPCI总线及快速、低功耗模数转换器件AD976的主要特点.

    标签: ADAMS View MSC 弹簧

    上传时间: 2013-06-18

    上传用户:eeworm