虫虫首页|资源下载|资源专辑|精品软件
登录|注册

lvds

lvds(Low-VoltageDifferentialSignaling)低电压差分信号,是一种低功耗、低误码率、低串扰和低辐射的差分信号技术,这种传输技术可以达到155Mbps以上,lvds技术的核心是采用极低的电压摆幅高速差动传输数据,可以实现点对点或一点对多点的连接,其传输介质可以是铜质的PCB连线,也可以是平衡电缆。[1]
  • RK3288原厂参考设计资料包 最全!

    RK3288资料说明: DDR3 方案采用 4x16bit、 2x32bit 等模板; LPDDR2 方案采用 2 x 32bit(168pin)、 1 x 32bit x 2channel(pop216pin)、 1x32bitx 2channel(pop220pin) 等模板; LPDDR3 方案采用 2 x 32bit(178pin)模板 PMIC 方案采用 RT5C620(单节电池)、 ACT8846(双节电池); Memory 默认为 eMMC Flash,兼容 Nand Flash 及 tSD 的设计; TP 包括 COF 及三种 COB 接法; 显示包括 eDP、单 MIPI、双 MIPI、 lvds 四种兼容设计; 3G 包括 3G-UNA(DS 7.2Mbps)、 3G-UNA LITE(DS 14.4Mbps) 两种模组兼容; Audio 包括 ES8323(低成本)、 ALC5631、 ALC3224(BT 语音)三种兼容; WIFI 兼容 AP6XXX 各模开发包包含以下几部分资料1、RK3288原厂参考原理图,DSN原始文档。2、RK3288发布原理图修改记录、规格书等3、RK3288原厂参考的DDR模板,包含DSN原理图和pads PCB4、RK3288 PCB库文件总的来说,拿到这份资料之后即可进行RK3288的硬件开发设计,可以画原理图、PCB。

    标签: rk3288 原理图 DDR模板

    上传时间: 2022-06-12

    上传用户:bluedrops

  • NCS8803 参考设计_HDMI to eDP

    NCS8803 3.2.1 功能:是一颗将HDMI信号转EDP信号的转接芯片。其应用如下: 3.2.2产品特征 输入:HDMI 输出:Embedded-DisplayPort (eDP) EDP接口 1/2/4-lane eDP @ 1.62/2.7Gbps per lane HD to WQXGA (2560*1600) supported 内置EDP协议 HDMI Input HDMI 1.4a supported 支持RGB444/YCbCr444/YCbCr422 像素时钟: 340MHz 支持双通道音频输入; 参考时钟 任何频率,在19MHz到100MHz之间,单端时钟输入 内置5000 ppm SSC与否 通信方式 IIC 电源 1.2V core supply 2.5V or 3.3V IO supply 功耗:150Mw 封装:QFN-56 (7mm x 7mm) 3.2.4 应用产品:广告机,平板、医疗器械、车机、显示器、小电视、车载电视等 3.2.5 应用平台:RK、全志、M-star、炬力等 3.3.6 推广注意事项A:确认客户使用屏的分辨率,最常用的是1366x768@60Hz和1920x1080@60Hz BNCS8803支持4-lane DP / eDP输出通常支持WQXGA所需 (2560 * 1600)及以上60 hz的帧速率 C.确认客户的信号源,要是标准的HDMI信号,其他的都不行; D.此芯片支持缩放功能,分数缩放比例2:1至1:2; E、此芯片不是纯硬件转换芯片,需要通过IIC或者SPI进行初始化,初始化一般使用客户CPU进行,这样方便控制时序也节省成本,如果不使用客户CPU进行初始化就要另外加MCU进行配置。 设计注意事项: A、NCS8801S设计的时候要特别注意输入输出的走线问题,要做好屏蔽以免信号受到干扰。 B、注意电源滤波 C、设计的时候预留lvds信号要预留阻抗匹配电阻 D、设计的时候复位脚最好由客户CPU的GPIO口进行控制,以便控制整个方案的时序,避免后面出现问题。

    标签: ncs8803 hdmi

    上传时间: 2022-07-08

    上传用户:ibeikeleilei

  • CYCLONE IV 兼容黑金开发板AC4075

    DDR3等长处理,lvds差分线等长处理,完整的地平面,足够的电源去耦电容,核心板尺寸6CMx6CM!FPGA型号:EP4CE75F23C8DDR2:两片DDR2 2GBitsFLASH:64MBits预留IO:168个单端IOlvds:可以配置为支持该协议USB接口:可以直接和主机进行USB数据通讯NIOS处理器:可以通过软核设计支持运行LINUX 操作系统,或者运行NIOS SDKALONE程序

    标签: cyclone iv 兼容 黑金 开发板 ac4075

    上传时间: 2022-07-24

    上传用户:ddk

  • RK3399瑞芯微最新安卓7.1版本如何使用lvds点屏说明

    RK3399是瑞芯微推出的一款低功耗、高性能的应用处理器芯片,该芯片基于Big.Little架构,即具有独立的NEON协同处理器的双核Cortex-A72及四核Cortex-A53组合架构,主要应用于计算机、个人互联网移动设备、VR、广告机等智能终端设备。RK3399内置多个高性能硬件处理引擎,能够支持多种格式的视频解码,如:4K*2K@60fps H.264/H.265/VP9,也支持1080P@30fps的H.264/MVC/VP8 以及高质量的JPEG编解码和图像的前后处理器。宁远电子科技最新研发的DLT3399A产品,搭建了最新android7.1 系统,2G 内存,16G EMMC 存诸。DLT3399A产品为消费类电子、VR设备、人脸识别设备、车载控制、机器人、智能终端、MID、无线通讯、医疗设备、工业控制等行业产品的应用开发而设计,超强的视频处理能力,超高清视频输出优势,尤其适合做工业控制、广告机和人脸识别设备等。DLT3399A其丰富的接口、精湛的设计,可供广大企业用户做产品使用。

    标签: rk3399 lvds

    上传时间: 2022-07-25

    上传用户:13692533910

  • VIP专区-PCB源码精选合集系列(24)

    VIP专区-PCB源码精选合集系列(24)资源包含以下内容:1. 多层印制板设计基本要领.2. 印刷电路板的过孔设置原则.3. 高速电路传输线效应分析与处理.4. 混合信号PCB设计中单点接地技术的研究.5. 高性能PCB设计的工程实现.6. 高性能覆铜板的发展趋势及对环氧树脂性能的新需求.7. 如何快速创建开关电源的PCB版图设计.8. 数字与模拟电路设计技巧.9. 探索双层板布线技艺.10. 通孔插装PCB的可制造性设计.11. 用单层PCB设计超低成本混合调谐器.12. 怎样才能算是设计优秀的PCB文件?.13. PCB设计的可制造性.14. lvds与高速PCB设计.15. pspice使用教程.16. 传输线.17. Pspice教程(基础篇).18. powerpcb(pads)怎么布蛇形线及走蛇形线.19. DRAM内存模块的设计技术.20. PCB被动组件的隐藏特性解析.21. 数字地模拟地的布线规则.22. 信号完整性知识基础(pdf).23. 差分阻抗.24. pcb layout design(台湾硬件工程师15年经验.25. PCB设计经典资料.26. 高速PCB基础理论及内存仿真技术(经典推荐).27. pcb layout规则.28. ESD保护技术白皮书.29. SM320 PCB LAYOUT GUIDELINES.30. HyperLynx仿真软件在主板设计中的应用.31. pcb布线经验精华.32. 计算FR4上的差分阻抗(PDF).33. Hyperlynx仿真应用:阻抗匹配.34. PCB布线原则.35. 高速PCB设计指南.36. 电路板布局原则.37. 磁芯电感器的谐波失真分析.38. EMI设计原则.39. 印刷电路板设计原则.40. PCB设计问题集锦.

    标签: 传感器 自动检测技术 教程

    上传时间: 2013-07-16

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(70)

    VIP专区-嵌入式/单片机编程源码精选合集系列(70)资源包含以下内容:1. 数据采集 数据采集 数据采集.2. 对DS1302完整的驱动.3. 说明: 1. DL1MS: 延时1ms 子程序, DL01MS : 延时0.1ms 子程序 2. MOV R0,#80H 红外接收的数据的地址 80H~8DH 3. SHOW_RFCO.4. RS232转RS485电路原理图.5. 用中文介绍Xilinx公司FPGA/CPLD的集成开发环境-ISE软件的简单使用.6. ZIGBEE无线组网架构方案说明,主从方式祥述.7. 这是ZIGBE——13192光盘全部内容.8. 美国GE公司MIL-1553B测试程序.9. 适合开发板EasyARM2200、SmartARM2200、MagicARM2200和MagicARM2200-S.10. NIOS嵌入系统下硬件浮点指令单元加减乘除程序,已验证通过.11. nios系统诸多范例.12. nios系统下lvds的ip源码.13. 数字温度计 单片及课程设计 C 语言设计1.14. nios总线与现场总线can的总线桥接口IP程序.15. 关键词:FPGA 数字电路 时序 时延路径 建立时间 保持时间.16. 4*4键盘c程序 4*4键盘c程序 4*4键盘c程序.17. 遥控器解码和1602驱动程序.18. 一个电话报警器的完整c语言源代码。可以供初学者学习学习。.19. PWM信号控制KeilC51演示程序.20. 这是一款经过制作,并且调试成功的无线话筒,是用protel画的原理图,还有元件的封装..21. 三星原厂的S3C2440开发板测试程序包括nandflash.22. 模拟I2C总线源程序.23. 三星s3c2440 usbdevice模块的下载程序.24. i2c通信汇编程序.25. 这是一张PROTEL图.26. 飞思卡尔的键盘中断源代码,C语言写的,很不错的.27. 8路数据循环采集.28. 刚才上载了LCD的中文件数据手册。现在再上一个自己写的比较通用的LCD驱动程序模版。.29. 再来一个IIC的时钟实现的例程吧。都是自己写的.30. 学习运用MFC封装类的DLL,可以实现GUI 的通用性..31. 本程序功能为在FPGA上nios处理器的网卡接口程序。.32. 本程序功能是在FPGA上nios处理器的lcd接口程序。.33. 本程序功能是在FPGA上nios处理器的flash接口程序。.34. 本程序功能是在FPGA上nios处理器的sram接口程序。.35. 本程序功能是在FPGA上nios处理器的usb接口程序。.36. 本程序详细介绍了LCD1602的使用方法及初始化..37. 有关语音的滤波以及自动增益控制电路的原理图以及PCB图.38. 周立功的LPC214X的例程关于AD转换的.单通道AD转换..39. 周立功LPC214X关于硬件出发转换的程序..40. LCM128645液晶范例(ST7920) 该液晶使用ST7920控制器.

    标签: 发动机 燃烧技术

    上传时间: 2013-06-07

    上传用户:eeworm