虫虫首页|资源下载|资源专辑|精品软件
登录|注册

jed

  • 汇编语言编的关于jed.asm的小程序

    汇编语言编的关于jed.asm的小程序,初学者可能会用到的哦。下下来看看吧

    标签: jed asm 汇编语言 程序

    上传时间: 2013-12-04

    上传用户:chenjjer

  • 一个hex文件转化为jed文件的小东东

    一个hex文件转化为jed文件的小东东,很方便好用的哦,好好享用吧

    标签: hex jed 转化

    上传时间: 2015-04-03

    上传用户:569342831

  • ABEL4.0 0

    ABEL设计软件是一种高级编译型可编程逻辑设计软件, 只需要输入符合语法规定的逻辑描述,就能设计各种不同类型 的PLD器件。这种软件可以对用户的逻辑设计进行语法检查、 逻辑化简、自动生成符合标准的jedEC文件(“.jed”文件), 还能将用户的设计要求与所选器件的功能相结合,分析检查用 户的设计目的是否切实可行,目前已经成为国际通用的PLD辅 助设计软件之一。

    标签: ABEL 4.0

    上传时间: 2013-08-02

    上传用户:20160811

  • protel99电子线路图绘图工具setup

    protel99电子线路图绘图工具.Protel99SE是Protel公司近10年来致力于Windows平台开发的最新结晶,能实现从电学概念设计到输出物理生产数据,以及这之间的所有分析、验证和设计数据管理。因而今天的Protel最新产品已不是单纯的PCB(印制电路板)设计工具,而是一个系统工具,覆盖了以PCB为核心的整个物理设计。 最新版本的Protel软件可以毫无障碍地读Orcad、Pads、Accel(PCAD)等知名EDA公司设计文件,以便用户顺利过渡到新的EDA平台。   Protel99 SE共分5个模块,分别是原理图设计、PCB设计(包含信号完整性分析)、自动布线器、原理图混合信号仿真、PLD设计。 以下介绍一些Protel99SE的部分最新功能:   ◆可生成30多种格式的电气连接网络表;   ◆强大的全局编辑功能;   ◆在原理图中选择一级器件,PCB中同样的器件也将被选中;    ◆同时运行原理图和PCB,在打开的原理图和PCB图间允许双向交叉查找元器件、引脚、网络    ◆既可以进行正向注释元器件标号(由原理图到PCB),也可以进行反向注释(由PCB到原理图),以保持电气原理图和PCB在设计上的一致性;    ◆满足国际化设计要求(包括国标标题栏输出,GB4728国标库); * 方便易用的数模混合仿真(兼容SPICE 3f5);   ◆支持用CUPL语言和原理图设计PLD,生成标准的jed下载文件; * PCB可设计32个信号层,16个电源-地层和16个机加工层;   ◆强大的“规则驱动”设计环境,符合在线的和批处理的设计规则检查;   ◆智能覆铜功能,覆铀可以自动重铺;    ◆提供大量的工业化标准电路板做为设计模版;   ◆放置汉字功能;    ◆可以输入和输出DXF、DWG格式文件,实现和AutoCAD等软件的数据交换;    ◆智能封装导航(对于建立复杂的PGA、BGA封装很有用);    ◆方便的打印预览功能,不用修改PCB文件就可以直接控制打印结果;   ◆独特的3D显示可以在制板之前看到装配事物的效果;    ◆强大的CAM处理使您轻松实现输出光绘文件、材料清单、钻孔文件、贴片机文件、测试点报告等;    ◆经过充分验证的传输线特性和仿真精确计算的算法,信号完整性分析直接从PCB启动;    ◆反射和串扰仿真的波形显示结果与便利的测量工具相结合;    ◆专家导航帮您解决信号完整性问题。

    标签: protel setup 99 电子线路图

    上传时间: 2013-10-14

    上传用户:hanwudadi

  • 通用阵列逻辑GAL实现基本门电路的设计

    通用阵列逻辑GAL实现基本门电路的设计 一、实验目的 1.了解GAL22V10的结构及其应用; 2.掌握GAL器件的设计原则和一般格式; 3.学会使用VHDL语言进行可编程逻辑器件的逻辑设计; 4.掌握通用阵列逻辑GAL的编程、下载、验证功能的全部过程。 二、实验原理 1. 通用阵列逻辑GAL22V10 通用阵列逻辑GAL是由可编程的与阵列、固定(不可编程)的或阵列和输出逻辑宏单元(OLMC)三部分构成。GAL芯片必须借助GAL的开发软件和硬件,对其编程写入后,才能使GAL芯片具有预期的逻辑功能。GAL22V10有10个I/O口、12个输入口、10个寄存器单元,最高频率为超过100MHz。 ispGAL22V10器件就是把流行的GAL22V10与ISP技术结合起来,在功能和结构上与GAL22V10完全相同,并沿用了GAL22V10器件的标准28脚PLCC封装。ispGAl22V10的传输时延低于7.5ns,系统速度高达100MHz以上,因而非常适用于高速图形处理和高速总线管理。由于它每个输出单元平均能够容纳12个乘积项,最多的单元可达16个乘积项,因而更为适用大型状态机、状态控制及数据处理、通讯工程、测量仪器等领域。ispGAL22V10的功能框图及引脚图分别见图1-1和1-2所示。 另外,采用ispGAL22V10来实现诸如地址译码器之类的基本逻辑功能是非常容易的。为实现在系统编程,每片ispGAL22V10需要有四个在系统编程引脚,它们是串行数据输入(SDI),方式选择(MODE)、串行输出(SDO)和串行时钟(SCLK)。这四个ISP控制信号巧妙地利用28脚PLCC封装GAL22V10的四个空脚,从而使得两种器件的引脚相互兼容。在系统编程电源为+5V,无需外接编程高压。每片ispGAL22V10可以保证一万次在系统编程。 ispGAL22V10的内部结构图如图1-3所示。 2.编译、下载源文件 用VHDL语言编写的源程序,是不能直接对芯片编程下载的,必须经过计算机软件对其进行编译,综合等最终形成PLD器件的熔断丝文件(通常叫做jedEC文件,简称为jed文件)。通过相应的软件及编程电缆再将jed数据文件写入到GAL芯片,这样GAL芯片就具有用户所需要的逻辑功能。  3.工具软件ispLEVER简介 ispLEVER 是Lattice 公司新推出的一套EDA软件。设计输入可采用原理图、硬件描述语言、混合输入三种方式。能对所设计的数字电子系统进行功能仿真和时序仿真。编译器是此软件的核心,能进行逻辑优化,将逻辑映射到器件中去,自动完成布局与布线并生成编程所需要的熔丝图文件。软件中的Constraints Editor工具允许经由一个图形用户接口选择I/O设置和引脚分配。软件包含Synolicity公司的“Synplify”综合工具和Lattice的ispVM器件编程工具,ispLEVER软件提供给开发者一个简单而有力的工具。

    标签: GAL 阵列 逻辑 门电路

    上传时间: 2013-11-16

    上传用户:看到了没有

  • gal16v8及gal20v8的编译程序源码

    gal16v8及gal20v8的编译程序源码,小日本写的,用optasm编译(该编译软件我也没找到,手上有的朋友请告诉一声,兄弟感激不尽),可以将eqn格式的文件编译成jed格式的文件

    标签: gal v8 16v 20v

    上传时间: 2013-11-25

    上传用户:xzt

  • GAL设计的累加器

    GAL设计的累加器,译码器的原代码。已经测试成功,并且生成可烧写的jed文件!

    标签: GAL 累加器

    上传时间: 2015-07-06

    上传用户:cc1015285075

  • abel的反编译操作方法

    abel的反编译操作方法,ABEL4系统提供了一个反编译程序,如果种种原因希望从jed烧录文件获得可以编辑修改的AHDL文件,则可以通过下面的操作获得

    标签: abel 反编译 操作

    上传时间: 2014-01-22

    上传用户:CHINA526

  • ABEL4.0 0

    ABEL设计软件是一种高级编译型可编程逻辑设计软件, 只需要输入符合语法规定的逻辑描述,就能设计各种不同类型 的PLD器件。这种软件可以对用户的逻辑设计进行语法检查、 逻辑化简、自动生成符合标准的jedEC文件(“.jed”文件), 还能将用户的设计要求与所选器件的功能相结合,分析检查用 户的设计目的是否切实可行,目前已经成为国际通用的PLD辅 助设计软件之一。

    标签: 液压气动 实用手册

    上传时间: 2013-07-24

    上传用户:eeworm