虫虫首页|资源下载|资源专辑|精品软件
登录|注册

WirelessMAN-OFDM

  • ofdm

    一个完整的OFDM仿真程序,采用QPSK调制,有信道估计,大家交流下!

    标签: ofdm

    上传时间: 2013-06-05

    上传用户:zq70996813

  • DVBT发射机系统中的OFDM调制FPGA实现

    该项目完成的是DVB-T发射机系统中OFDM调制部分的FPGA设计.DVB-T是ETSI(欧洲电信标准委员会)提出的数字地面电视广播系统标准,在业界影响很广.整个DVB-T发射机系统包括RS编码,内交织,卷积编码,外交织,星座映射,IFFT变换等主要部分.该项目组负责以FPGA为主体的硬件平台的搭建及编码,调制部分的FPGA软件设计,作者完成了2k模式下IFFT变换的软件设计.该文首先介绍了OFDM及DVB-T相关原理,然后比较分析了各种FFT算法及实现结构的复杂度,最后采取了一种Radix2

    标签: DVBT OFDM FPGA 发射机

    上传时间: 2013-05-16

    上传用户:gundamwzc

  • OFDM信道估计模块运算部件的FPGA设计

    正交频分复用(OnIlogonaJ Frequency Division Multiplexing,OFDM)技术通过将整个信道分为多个带宽相等并行传输的子信道,通过将信息经过子信道独立传输来实现通信,子信道的正交性可以保证最大限度的利用频谱资源。OFDM系统通过循环前缀来消除符号间干扰(ISI),通过IDFT/DFT调制解调降低了系统实现的复杂度。由于其频谱利用率高,抗多径能力强,在多种通信场合中都得到了应用。虽然有着上述优点,但为了准确的恢复信号,信道估计是OFDM系统中必须实现的一环。 本文正是针对OFDM接收机中的信道估计模块的运算部件的实现进行了研究。首先,研究了OFDM信道估计的LS算法,一阶线性插值算法,二次多项式插值算法,建立了适用于宽带通信系统的信道估计模块模型。其次研究了加法器电路和乘法器电路的实现,包括进位行波加法器,曼彻斯特进位链,超前进位加法器和乘法原理,阵列乘法器,wallace树乘法器及BOOTH编码算法,并分析了各种电路的特性及优缺点。接着研究了几种主要的除法器设计算法,包括数字循环算法,基于函数迭代的算法,以及CORDIC算法,结合信道估计的特点选择了函数迭代和CORDIC算法作为具体实现的方法。最后,在前面的设计的基础上在FPGA芯片上实现了前面的设计方案。

    标签: OFDM FPGA 信道估计 模块

    上传时间: 2013-06-05

    上传用户:yyyyyyyyyy

  • OFDM基带调制系统在FPGA上的实现

    本文着重研究了OFDM调制解调技术在FPGA上的实现。全文内容安排如下:  第一章介绍了PLD(可编程逻辑器件)和OFDM(正交频分复用)技术的发展历史。  第二章介绍了PLD的分类、工艺和结构特点,以及FPGA的开发环境、开发流程和Verilog语言的特点。  第三章就OFDM系统中的基本概念进行了详细的阐述。  第四、五章是OFDM算法的在FPGA上的实现,首先对要实现的算法进行分析,给出了需要实现的指标。然后给出了FPGA的实现方案,对系统的进行仿真,给出了仿真波形图和系统性能分析。  第六章总结了全文的工作,对OFDM技术的实现需要进一步完善的方面进行了探讨。

    标签: OFDM FPGA 基带 调制系统

    上传时间: 2013-08-05

    上传用户:跃跃,,

  • OFDM系统中信道均衡的技术研究及基于FPGA的实现

    最新的研究进展是OFDM的出现,并且在2000年出现了第一个采用此技术的无线标准(HYPERLAN-Ⅱ)。由于它与TDMA及CDMA相比能处理更高数据速率,因此可以预想在第四代系统中也将使用此技术。 宽带应用和高速率数据传输是OFDM调制/多址技术通信系统的重要特征之一。作者通过参与国家863计划项目“OFDM通信系统”一年以来的研发工作,对OFDM通信系统及相关技术有了深入的理解,积累了大量实际经验,并在相关工作中取得了部分研究成果。 另一方面,关于宽带自适应均衡技术的研究在近年来也引起了广泛的关注。它是补偿信道畸变的重要的技术之一。作者通过参与该项目FPGA部分的开发与调试工作,基于单片FPGA实现了均衡部分;此外,作者在频域自适应均衡算法方面也取得了一些理论成果。 本文的主体部分就是根据上述工作的内容展开的。 首先介绍了本课题相关技术的发展情况,主要包括:OFDM系统的技术原理、技术优势、历史和现状,均衡技术的特点和发展等。末尾叙述了本课题的来源和研究意义,并简介了作者的主要工作和贡献。确定将WSSUS分布和瑞利衰落作为本文研究的信道模型。主要分析了常用的时域均衡器,均是单载波非扩频数字调制中常用到的均衡器和均衡算法,为接下来的进一步研究作理论参考。 接着,论述了均衡必须用到的信道估计技术。重点就该方案的核心算法(频域均衡算法)进行了数学上进行了较深入的研究,建立系统模型,并据此推导了三种频域均衡的算法:频域消除HICI,Gauss-Seidel迭代算法,频域线性内插。采用WSSUS信道模型进行了计算机仿真,得出了采用这些均衡算法在不同条件下的性能曲线。并且系统地、有重点地对该方案的原理和实质进行了较深入的讨论。归纳比较了各种算法的算法复杂度和能达到的性能,并且结合信道纠错编解码进行了细致的分析。进一步尝试设计了无线局域网OFDM系统的设计,采用典型的欧洲Hyperlan2系统为例,把研究成果引入到实际的整个系统中来看。结合具体的系统指出了该均衡算法在抗衰落和相位偏移方面的应用。 最后,描述了利用Xilinx的xc2v3000-4FG676型号芯片针对OFDM系统实现频域自适应均衡的方法,主要给出了设计方法、时序仿真结果和处理速度估值等;并结合最新的FPGA发展动态和特点,对基于FPGA实现其他均衡算法的升级空间进行了讨论。 本文的结束语中,对作者在本文中所作贡献进行了总结,并指出了仍有待深入研究的几个问题。

    标签: OFDM FPGA 信道

    上传时间: 2013-04-24

    上传用户:ahljj

  • 基于IEEE80211a的OFDM传输系统的接收机算法研究与FPGA实现

    作为一项正在兴起的无线应用服务,无线局域网已在机场、校园、会议室、甚至在家庭都有所应用.它正叩开高速无线数据业务市场的大门.目前,无线局域网仍处于众多标准共存时期.每一标准的背后都有大公司或者大集团的支持.在众多无线局域网协议中IEEE802.11a协议是很有特色的一个,它的优势在于采用了正交频分复用(OFDM)方式来传输数据,该技术可帮助提高速度和改进信号质量,并可克服干扰,因此得到众多关注.为了让这种高速的局域网真正应用到实际中,我们的项目就是要在硬件上实现基于IEEE802.11a协议的OFDM系统的发射机和接收机,而本文的主要工作就是用FPGA实现这个系统的内接收机.内接收机主要包括同步估计和信道估计.但是目前OFDM系统中包括同步、信道编码、信道估计、用户检测、降低峰均比等一些关键技术在具体实现上还存在着一些困难.许多文献对这些关键技术基本停留在理论上的讨论,与具体的实现还存在很大的差距.因此本文通过研究同步和信道估计的多种算法的性能和其实现的复杂度,提出一种适合在IEEE802.11a协议环境下的同步算法和信道估计,用FPGA加以实现.首先本文总结了目前OFDM系统信道估计的算法.在此基础上详细的讨论了基于IEEE802.11a协议的OFDM系统可以采用的信道估计方法:(1)提出了借助训练序列的LS估计法和LS-average估计法,分别在AWGN信道和多径信道对这两种方法进行了比较,证明无论在哪种信道环境下后者性能都要好于前者.为了能够进一步提高信道估计器的性能,在LS-average算法的基础上提出了消噪算法(NRA).(2)提出了借助导频的DFT插值算法.其次本文总结了目前OFDM系统同步的算法.OFDM系统同步包括定时同步和载波同步,其中定时同步又分为符号同步和抽样同步.本文主要是研究定时同步,而载波同步只是简单的讨论,因为在这项目中这是另有负责人.本文针对基于IEEE802.11a协议的OFDM系统把定时同步分为粗定时同步和细定时同步.然后分别对粗定时同步和细定时同步进行了详细的讨论.其中对粗定时同步的方法有:利用短训练序列和利用循环前缀,并对这两种方法进行了比较.对细定时同步是利用导频来跟踪.最后根据前面两章提出的算法所分析的结果,以及突发OFDM系统的信号和信道特征,选取了其中一种信道估计算法和定时同步算法,结合合作伙伴所提出的载波同步算法一起用FPGA实现整个基于IEEE802.11a协议的OFDM系统的内接收机,并分别测试了各个模块的性能以及综合模块的性能.

    标签: 80211a 80211 IEEE FPGA

    上传时间: 2013-05-26

    上传用户:zhengzg

  • 基于IEEE80211a的OFDM基带传输系统的研究及其部分模块的FPGA实现

    IEEE802旗下的无线网络协议引领了无线网络领域的新革命,其不断提升的速度优势满足了人们对于高速无线接入的迫切要求,在这其中,OFDM技术所起的作用不可小觑。随着FPGA、信号处理和通信技术的发展,OFDM的应用得到了长足的进步。在此情况下,以OFDM技术为核心实现数据传输的原型机系统显得应情应景而且必要。 本课题在深入理解OFDM技术的同时,结合相应的EDA工具对系统进行建模并基于IEEE802.11a物理层标准给出了一种OFDM基带传输的系统实现方案。整个设计采用目前主流的自顶向下的设计方法,由总体设计至详细设计逐步细化。 在系统功能模块的FPGA实现过程中,针对XilinxVirtex-Ⅱ芯片对各个模块进行了详细设计,通过采用双端口RAM、流水、乒乓结构等处理实现高速的同步的信道编码的功能模块;通过比较符号定时的不同算法,给出了基于MultiplierlessCorrelator的实现结构并给出了仿真波形图,验证了采用该算法后符号定时模块的资源耗费大大降低而功能却依然和基于乘法器的符号定时模块相当;通过对Viterbi算法进行简化,给出了(2,1,6)卷积码的4比特软判决Viterbi解码器的设计和实现。最后根据系统所选芯片XC2V3000给出了具有较高配置灵活性的基于SystemACE配置方案的FPGA的硬件原理图设计和PCB设计。 本文首先以无线局域网和IEEE802无线网络家族引出OFDM技术发展、研究价值及OFDM的优缺点,接下来从OFDM原理入手,简要说明了OFDM的基本要素以及目前的研究热点,之后在介绍完IEEE802.11a物理层标准的同时给出了本原型机系统的总体设计方案,并从硬件语言设计和FPGA硬件原理设计两方面给出了该系统的详细设计。 随着OFDM技术的普及以及未来通信技术对OFDM的青睐,相信本论文的工作对OFDM基带传输系统的原型设计和实现具有一定的参考价值。

    标签: 80211a 80211 IEEE FPGA

    上传时间: 2013-07-13

    上传用户:远远ssad

  • 突发OFDM系统接收机同步算法设计及其FPGA实现

    目前,以互联网业务为代表的网络应用,正快速地向包括数据、语音、图像的综合宽带多媒体方向发展,构建宽带化、大容量、全业务、智能化的现代通信网络已成为大势所趋.宽带无线接入(BWA)凭借其组网快速灵活、运营维护方便及成本较低等竞争优势,迅速成为市场热点,各种微波、无线通信领域的先进手段和方法不断引入,各种宽带无线接入技术迅速涌现.由于BWA要用于非视距传输,所以必须考虑无线信道的多经效应.而OFDM技术凭借着鲁棒的对抗频率选择性衰落能力和极高频谱效率引起了学术界和工业界的高度重视.其基本思想是把调制在单载波上的高速串行数据流,分成多路低速的数据流,调制到多个正交载波上并行传输,这样在传输时,虽然整个信道是频率选择性衰落,但是各个子信道却是平坦衰落,有效对抗了多经效应,同时由于各个子载波是正交的,极大提高了频谱效率.可以预料的是,随着通信系统将向基于IPv6核心网的全IP包的传输方向发展,越来越多的通信系统将具有"突发模式"的特征.本文关注的正是突发OFDM系统接收机设计和实现.由于IEEE 802.11a无线局域网是OFDM技术第一次真正的应用于突发系统,实现了面向IP的无线宽带传输,所以基于IEEE 802.11a的突发OFDM系统有着重要的借鉴和研究价值,本文也正是围绕着这个中心而展开.本文的各章节安排如下:在第一章中主要介绍OFDM的技术原理和在宽带无线接入中的应用,同时引出本文所关注的突发OFDM接收机设计.在第二章中先介绍了相干接收和信道估计的概念,重点分析了本文所采用的WLAN信道模型和信道估计算法,然后在得到同步误差表达式的基础上,先用星座图直观的表现OFDM系统中各种同步误差的影响,再从信噪比损失的角度对符种同步误差进行分析.第三章是本文的重点之一,在本章中对基于IEEE 802.11a的各种同步算法包括帧检测和符号定时、载波同步和采样时钟同步进行仿真和比较,并针对适合FPGA实现的同步算法进行了重点的分析.第四章也是本文的重点之一,提出了整个OFDM系统平台的硬件结构和基于IEEE 802.11a的接收机FPGA设计方案,然后从整体上介绍了接收机的实现结构,并给出了接收机各个模块的具体设计,最后对整个系统调试过程和测试结果进行了分析.

    标签: OFDM FPGA 接收机

    上传时间: 2013-04-24

    上传用户:zhoujunzhen

  • OFDM系统帧检测及同步算法FPGA设计与实现

    正交频分复用(OFDM)技术是一种多载波数字调制技术,它具有频谱利用率高、抗多径能力强等特点,在宽带无线多媒体通信领域中受到了广泛的关注。 OFDM系统可分为连续工作模式和突发工作模式。在IEEE802.11a、HiperLANType2等无线局域网标准中采用了OFDM的突发工作模式,该模式下的接收机首先对符合某种特定格式的帧做出检测。本文介绍了一种基于最小错误概率准则的帧检测算法,提出了该算法的FPGA实现方案。 同步技术是OFDM最关键的技术之一,它包括载波频率同步和符号同步。载波频率同步是为了纠正接收端相对于发送端的载波频率偏移,以保证子载波间的正交性;符号同步确定OFDM符号有用数据信息的开始时刻,也就是确定FFT窗的开始时刻。本文首先介绍了一种基于自相关的载波频率同步算法,给出了它的FPGA实现方案,重点讲述了其中用到的Cordic算法及其实现;然后介绍了分别基于互相关和自相关的两种符号同步算法,给出了各自的FPGA实现方案,从实现的角度比较了两种算法的优缺点,并且在FPGA设计中体现了面积复用和流水线操作的设计思想。 文章最后介绍了系统调试的情况,总结出一种ChipScopePro与Matlab相结合的调试方法,该方法在FPGA调试方面具有一定的通用性。

    标签: OFDM FPGA

    上传时间: 2013-07-15

    上传用户:Killerboo

  • 基于FPGA的OFDM调制解调器的设计与实现

    正交频分复用(OFDM)技术是一种多载波数字调制技术,具有频谱利用率高、抗多径干扰能力强、成本低等特点,适合无线通信的高速化、宽带化及移动化的需求,将成为下一代无线通信系统(4G)的核心调制传输技术。 本文首先描述了OFDM技术的基本原理。对OFDM的调制解调以及其中涉及的特性和关键技术等做了理论上的分析,指出了OFDM区别于其他调制技术的巨大优势;然后针对OFDM中的信道估计技术,深入分析了基于FFT级联的信道估计理论和基于联合最大似然函数的半盲分组估计理论,在此基础上详细研究描述了用于OFDM系统的迭代的最大似然估计算法,并利用Matlab做了相应的仿真比较,验证了它们的有效性。 而后,在Matlab中应用Simulink工具构建OFDM系统仿真平台。在此平台上,对OFDM系统在多径衰落、高斯白噪声等多种不同的模型参数下进行了仿真,并给出了数据曲线,通过分析结果可正确评价OFDM系统在多个方面的性能。 在综合了OFDM的系统架构和仿真分析之后,设计并实现了基于FPGA的OFDM调制解调系统。首先根据802.16协议和OFDM系统的具体要求,设定了合理的参数;然后从调制器和解调器的具体组成模块入手,对串/并转换,QPSK映射,过采样处理,插入导频,添加循环前缀,IFFT/FFT,帧同步检测等各个模块进行硬件设计,详细介绍了各个模块的设计和实现过程,并给出了相应的仿真波形和参数说明。其中,针对定点运算的局限性,为系统设计并自定义了24位的浮点运算格式,参与傅立叶反变换和傅立叶变换的运算,在系统参数允许的范围内,充分利用了有限资源,提高了系统运算精度;然后重点描述了基于FPGA的快速傅立叶变换算法的改进、优化和设计实现,针对原始快速傅立叶变换FPGA实现算法运算空闲时间过多,资源占用较大的问题,提出了带有流水作业功能、资源占用较少的快速傅立叶变换优化算法设计方案,使之运用于OFDM基带处理系统当中并加以实现,结果满足系统参数的需求。最后以理论分析为依据,对整个OFDM的基带处理系统进行了系统调试与性能分析,证明了设计的可行性。 综上所述,本文完成了一个基于FPGA的OFDM基带处理系统的设计、仿真和实现。本设计为OFDM通信系统的进一步改进提供了大量有用的数据。

    标签: FPGA OFDM 调制解调器

    上传时间: 2013-04-24

    上传用户:vaidya1bond007b1