虫虫首页|资源下载|资源专辑|精品软件
登录|注册

USB-Blaster

  • 怎么更好有效的安装USB-Blaster软件

    怎么更好有效的安装USB-Blaster软件

    标签: USB-Blaster 软件

    上传时间: 2017-09-06

    上传用户:saharawalker

  • Rainbow Blaster 使用手册v1.0

    一、Rainbow Blaster 的特性Rainbow Blaster全面兼容Altera的USB Blaster下载电缆,通过计算机的USB接口可对Altera的FPGA/CPLD以及配置芯片进行编程、调试等操作。支持的Altera FPGA/CPLD器件如下:l Stratix II、Stratix GX及Stratix系列l Cyclone II及Cyclone系列l APEX II 及APEX 20K系列l ACEX 1Kl Mercuryl FLEX 10K、FLEX 10KE和FLEX 10KA全系列l Excaliburl MAX 3000、MAX 7000和MAX II全系列支持的配置芯片如下:l EPC2, EPC4, EPC8, EPC16, EPC1441l EPCS1, EPCS4, EPCS16,EPCS64支持的目标板IO电压:l 1.5V、1.8V、2.5V、3.3V、5V二、Rainbow Blaster工作需求1.软件需求:l Windows 2000 和XP 操作系统。l 需要安装QuartusII4.0 及以上版本。l Quartus II Programmer (编程或配置操作需要)l Quartus II SignalTap II Logic Analyzer (逻辑分析操作需要)2. 电源需求:l 从USB 电缆的PC 端提供直流5.0V;l 从目标板下载接口提供直流1.5V 至5.0V。三、硬件连接请按如下步骤顺序操作:1. 关掉目标板电源。2. 将USB 电缆一端(大口)接到PC 或笔记本电脑上的USB 接口,另一端(小口)接到Rainbow Blaster。3. 将Rainbow Blaster 的10PIN Female(母头)接头按照方向指示连接到目标

    标签: Rainbow Blaster 1.0 使用手册

    上传时间: 2013-10-15

    上传用户:yd19890720

  • 基于USB2.0的FPGA配置接口及实验开发评估板设计与实现.rar

    信号与信息处理是信息科学中近几年来发展最为迅速的学科之一,随着片上系统(SOC,System On Chip)时代的到来,FPGA正处于革命性数字信号处理的前沿。基于FPGA的设计可以在系统可再编程及在系统调试,具有吞吐量高,能够更好地防止授权复制、元器件和开发成本进一步降低、开发时间也大大缩短等优点。然而,FPGA器件是基于SRAM结构的编程工艺,掉电后编程信息立即丢失,每次加电时,配置数据都必须重新下载,并且器件支持多种配置方式,所以研究FPGA器件的配置方案在FPGA系统设计中具有极其重要的价值,这也给用于可编程逻辑器件编程的配置接口电路和实验开发设备提出了更高的要求。 本论文基于IEEE1149.1标准和USB2.0技术,完成了FPGA配置接口电路及实验开发板的设计与实现。作者在充分理解IEEE1149.1标准和USB技术原理的基础上,针对Altcra公司专用的USB数据配置电缆USB-Blaster,对其内部工作原理及工作时序进行测试与详细分析,完成了基于USB配置接口的FPGA芯片开发实验电路的完整软硬件设计及功能时序仿真。作者最后进行了软硬件调试,完成测试与验证,实现了对Altera系列PLD的配置功能及实验开发板的功能。 本文讨论的USB下载接口电路被验证能在Altera的QuartusII开发环境下直接使用,无须在主机端另行设计通信软件,其兼容性较现有设计有所提高。由于PLD(Programmable Logic Device)厂商对其知识产权严格保密,使得基于USB接口的配置电路应用受到很大限制,同时也加大了自行对其进行开发设计的难度。 与传统的基于PC并口的下载接口电路相比,本设计的基于USB下载接口电路及FPGA实验开发板具有更高的编程下载速率、支持热插拔、体积小、便于携带、降低对PC硬件伤害,且具备其它下载接口电路不具备的SignalTapII嵌入式逻辑分析仪和调试NiosII嵌入式软核处理器等明显优势。从成本来看,本设计的USB配置接口电路及FPGA实验开发板与其同类产品相比有较强的竞争力。

    标签: FPGA USB 2.0

    上传时间: 2013-04-24

    上传用户:lingduhanya

  • 实验开发评估板设计与实现

    信号与信息处理是信息科学中近几年来发展最为迅速的学科之一,随着片上系统(SOC,System On Chip)时代的到来,FPGA正处于革命性数字信号处理的前沿。基于FPGA的设计可以在系统可再编程及在系统调试,具有吞吐量高,能够更好地防止授权复制、元器件和开发成本进一步降低、开发时间也大大缩短等优点。然而,FPGA器件是基于SRAM结构的编程工艺,掉电后编程信息立即丢失,每次加电时,配置数据都必须重新下载,并且器件支持多种配置方式,所以研究FPGA器件的配置方案在FPGA系统设计中具有极其重要的价值,这也给用于可编程逻辑器件编程的配置接口电路和实验开发设备提出了更高的要求。 本论文基于IEEE1149.1标准和USB2.0技术,完成了FPGA配置接口电路及实验开发板的设计与实现。作者在充分理解IEEE1149.1标准和USB技术原理的基础上,针对Altcra公司专用的USB数据配置电缆USB-Blaster,对其内部工作原理及工作时序进行测试与详细分析,完成了基于USB配置接口的FPGA芯片开发实验电路的完整软硬件设计及功能时序仿真。作者最后进行了软硬件调试,完成测试与验证,实现了对Altera系列PLD的配置功能及实验开发板的功能。 本文讨论的USB下载接口电路被验证能在Altera的QuartusII开发环境下直接使用,无须在主机端另行设计通信软件,其兼容性较现有设计有所提高。由于PLD(Programmable Logic Device)厂商对其知识产权严格保密,使得基于USB接口的配置电路应用受到很大限制,同时也加大了自行对其进行开发设计的难度。 与传统的基于PC并口的下载接口电路相比,本设计的基于USB下载接口电路及FPGA实验开发板具有更高的编程下载速率、支持热插拔、体积小、便于携带、降低对PC硬件伤害,且具备其它下载接口电路不具备的SignalTapII嵌入式逻辑分析仪和调试NiosII嵌入式软核处理器等明显优势。从成本来看,本设计的USB配置接口电路及FPGA实验开发板与其同类产品相比有较强的竞争力。

    标签: 实验 评估板

    上传时间: 2013-06-06

    上传用户:2525775

  • Altera recommends the following system configuration: * Pentium II 400 with 512-MB system memory (fa

    Altera recommends the following system configuration: * Pentium II 400 with 512-MB system memory (faster systems give better software performance) * SVGA monitor * CD-ROM drive * One or more of the following I/O ports: - USB port (if using Windows XP or Windows 2000) for USB-Blaster(TM) or MasterBlaster(TM) communications cables, or APU programming unit - Parallel port for ByteBlasterMV(TM) or ByteBlaster(TM) II download cables - Serial port for MasterBlaster communications cable * TCP/IP networking protocol installed * Windows 2000, Windows NT 4.0 with Service Pack 3 or later, or Windows XP * Internet Explorer 5.0 or later Memory & Disk Space Requirements USB开发

    标签: system configuration recommends following

    上传时间: 2015-03-27

    上传用户:13188549192

  • usbblaster

    usb blaster altera 烧写到仿真板所需要的

    标签: usbblaster - altera

    上传时间: 2017-11-08

    上传用户:tjlidehua

  • VIP专区-嵌入式/单片机编程源码精选合集系列(63)

    VIP专区-嵌入式/单片机编程源码精选合集系列(63)资源包含以下内容:1. zlg7289a驱动程序 包括头文件和主文件 汇编和C语言齐全.2. vc++ 开放的串口通讯程序.3. 电子万年历制作的全部资料,可用单面板制作,顶层线比较少可用跳线,内用源码+原理图+PCB,可以显示到2050年的阴阳历,只须调整阳历..4. i2c协议实现.5. 优龙PAX255开发板所带AC97声卡的测试程序源码.6. 周立功的USB大容量存储开发板带CPLD的代码D的源码.7. wangxiaoyong0015@yahoo.com.cn b不懂的给我发邮件!!! 谢谢啊!!一定支持我.8. 用VHDL实现的DDS.9. uclinux移植过程中.10. viterbi decoder , use verilog HDL language..11. 三星ARM试验箱.12. USB JTAG 卡. 允许从主机USB口直接控制JTAG I/O 信号。 USB端与Altera USB-Blaster使用相同的协议。主机端与openwince, OpenOCD和Altera的.13. 许多非常有用的 Verilog 实例: ADC, FIFO, ADDER, MULTIPLIER 等.14. LPC2214开发原理图,绝好!!!!!!!!!! LPC2214开发原理图,绝好.15. CPLD开发电缆原理图,绝好的东东!!! CPLD开发电缆原理图,绝好的.16. 语音评分算法的实现,主要可以实现对一段语音信号进行判别并进行打分功能..17. lpc2132开发板的原理图,适合初学者学习用.18. 用ICC写的ATMega8的4X4键盘驱动程序.19. FPGA-CPLD_DesignTool,事例程序陆续上传请需要的朋友下载.20. I2C编译通过...大家下去直接用.支持程序员联合开发网.21. 步进电机控制实验.22. MagicARM2410与PC机串口通信实验.23. CanBus通信实验.24. 这是个C的一个程序.25. 这是个嵌入式程序.26. 一个MSComm控件的收发程序.27. 包括TI全系列DSK原理图汇总.28. 周立功公司的USB2.0芯片ISP1581的键盘上位机VC编的源程序.29. 这是一个学习proteus很好的资料。希望对大家的学习很有帮助.30. 本代码内容是关于带遥控器控制的LCD显示的实时时钟。.31. 本代码是关于用INT0中断实现按键计数.32. 本代码是关于循环灯的代码.33. 本代码是关于符点数在数码管上显示的.34. 本代码是关于AT24C02串行存储器的读写的.35. 一种使用可控硅控制.36. 这是运动控制课程设计时自己设计的电路原理图.37. vxworks tffs mtd 层源码,支持非INTEL格式.38. 自己看吧 eerom的.39. DOS下的TCP/IP源代码,可以做参考..40. 基于FPGA的SD控制器实现.目前实现读操作功能,可作参考..

    标签: 五金手册

    上传时间: 2013-06-01

    上传用户:eeworm

  • USB子类协议.part2

    USB子类协议.part2

    标签: part USB 协议

    上传时间: 2013-05-21

    上传用户:eeworm

  • USB HID Demonstrator Release 1.0.1

    USB HID Demonstrator Release 1.0.1

    标签: Demonstrator Release USB HID

    上传时间: 2013-04-15

    上传用户:eeworm

  • USB子类协议.part3

    USB子类协议.part3

    标签: part USB 协议

    上传时间: 2013-08-02

    上传用户:eeworm