虫虫首页|资源下载|资源专辑|精品软件
登录|注册

TIMER_A

  • MSP-TEST44X 学习板光盘资料及实验说明 本学习板是按照教育大纲

    MSP-TEST44X 学习板光盘资料及实验说明 本学习板是按照教育大纲,采纳国内外许多单片机实验仪的优点,保持了传统机的实验 项目,增加了以实用技术为主的许多实验。实验内容涉及到端口,时钟,FLASH 读写,看 门狗,硬件乘法器,TIMER_A_操作,TIMER_A ,ADC&bt&lcd,通讯操作(232,485, SPI),键盘操作(独立按键,行列按键),LED 显示,LCD 点阵操作,扩展 DATA FLASH 操作, EEPROM 共 14 个例程,采用 C 和汇编两种语言形式。学习版硬件平台以 MSP430F449 为核 心,使用了 MSP430F449 内部的绝大多数资源,配合 FET 仿真调试&编程工具,可方便的 实现开发,在线调试与编程下载。为了便于大家查找学习板的资料及便捷的观看实验指导书, 特作此说明。

    标签: MSP-TEST 44 光盘 实验

    上传时间: 2017-09-27

    上传用户:拔丝土豆

  • msp430测信号频率代码

    msp430代码,精确测量信号频率 程序描述:利用TIMER_A捕获脉冲宽度  利用MSP430单片机定时器A和捕获/比较功能模块结合使用,实现脉冲宽度的测量 程序用到了定时器A的CCI1A端口(MSP430F14X的P1.2引脚)作捕获外部输入 的脉冲电平跳变,start,end,两个个变量来计算脉冲宽度

    标签: msp430测信号频率代码

    上传时间: 2015-05-05

    上传用户:66998877

  • VIP专区-单片机源代码精选合集系列(15)

    eeworm.com VIP专区 单片机源码系列 15资源包含以下内容:1. 基于Proteus_单片机的流水灯实验教程.doc2. 51单片机和HD7279A的接口与编程.pdf3. 格力空调遥控器红外码分析.pdf4. 12864应用的各种资料.zip5. 宏汇编使用手册.pdf6. AT24C02和AT24C512和IIC资料.rar7. NI_USB-6008中文.pdf8. H桥电路原理与应用.doc9. STC51_系列单片机原理图库.rar10. AVR+单片机实例教程.pdf11. 毕业设计论文(多路无线遥控开关设计)很详细.doc12. HOT51增强版开发板使用说明.pdf13. AT89C51并行加载DDS芯片AD9850的方法.pdf14. 遥控车(程序+电路图+实物图).zip15. STM8_开发入门教程.pdf16. 单片机gps接收程序.doc17. 51单片机综合学习系统之 步进电机控制篇.doc18. STM32-CC2520-TinyOS-driver.rar19. ADI16480demo板原理图.pdf20. 超声波US-100说明书及使用例程.rar21. 基于单片机的网络控制实现温控系统的设计.zip22. 电骰子的电路图及制作.doc23. 汇编语言入门教程2007.pdf24. 51单片机的中文拼音输入法c源程序.zip25. STM8S103中文资料.pdf26. 爱特梅尔atmega8l中文资料手册.pdf27. YL-64 TCS3200颜色传感器 资料.rar28. 多路数字温度测量系统设计.doc29. US-100超声波测距模块RS232底板使用说明.pdf30. 7805双极型线性集成电路中文资料.pdf31. 基于单片机的温湿度检测与控制系统研究.pdf32. keil自学教程,经典中的经典.pdf33. MCS-51单片机应用开发实用子程序.rar34. crazyflie国外开源微型四轴所有资料.rar35. S51编程器开源技术方案.pdf36. DS8005评估套件入门.zip37. 51开发板protuse仿真电路.zip38. MC9S12XS128开发平台实验指导手册.pdf39. Practical AVR Microcontrollers.pdf40. 远程水温控制系统的设计与实现.wps41. 32只二极管的流水灯.zip42. 时钟+温度通过LCD12864显示.pdf43. 超声波液位探测系统.doc44. 七段发光二极管.zip45. P89V51RD2功能切换程序.rar46. AVR串口并口下载线制作及PonyProg2000使用教程(完整版).pdf47. 基于AT89S52单片机控制的红外线防盗报警器编程程序.doc48. STC12C5A16S2单片机引脚图.doc49. MSP430单片机一些常用模块程序.pdf50. Atmega328P技术手册.pdf51. msp430fr5739数据手册.pdf52. winAVR中文教程.doc53. 菜鸟,详细NRF24E1运用,程序,电路.rar54. MCS-51单片机计数器定时器详解.pdf55. 详解一个电脑串口控制单片机驱动继电器的例子.docx56. arduino_编程语言.doc57. 基于51单片机设计的多功能数字时钟,c语言程序.doc58. 电子琴制作文件以及程序.rar59. 学习情境一 显示系统设计与制作.ppt60. 51单片机C语言应用程序设计实例精讲.pdf61. 基于以太网的远程温度控制系统.docx62. 液晶屏计时器程序.rar63. 基于ARM Cotex-M0内核32位处理器SWM12系列的热敏打印机方案.pdf64. 字符型LCD显示系统设计与制作.zip65. SWM12系列芯片中文简介 Cotex-M0.pdf66. 手把手教你1602字符型液晶模块使用.doc67. 8051单片机彻底研究 实习篇.pdf68. 51单片机控制继电器.doc69. 各种单片机编程语言比较.pdf70. 基于protues学习+100实例.doc71. DIY LED电子沙漏.pdf72. C8051单片机原理及应用.zip73. 单片机爱好者入门知识 单片机学习的基本过程.pdf74. 8x8点阵做的贪吃蛇游戏.rar75. MPLAB XC8 C 编译器用户指南.pdf76. 基于STM32的高精度水浴锅、恒温箱的恒温控制器设计.pdf77. MSP430超声波测距.pdf78. MSP430Appliction Note.zip79. MSP430的TIMER_A实现模拟串口功能.pdf80. 104-1602液晶显示DS1302时钟 含定时.zip81. MSP430单片机C语言和汇编语言混合编程.pdf82. MSP430F413实现的智能遥控器设计.pdf83. Proteus 7.5汉化.zip84. iar430中条件断点的设置.pdf85. 单片机音符与频率的关系.doc86. 1-wire 单总线的基本原理.pdf87. C430编译器的诊断信息.rar88. 模拟PID和数字PID算法.pdf89. MSP430系列单片机元器件手册.zip90. USBASP下载器用户使用说明书V2.0(配套PROGISP1.72).pdf91. 8x8x8光立方PCB图纸.rar92. MSP430驱动汉字LCD演示程序.pdf93. USBASP下载器用户使用说明书V1.0(配套AVR_fighter).pdf94. 光立方程序REG52.rar95. 德州仪器msp430内部资源介绍.pdf96. C51+AVR控制板介绍手册.pdf97. 光立方电路原理图.zip98. MSP430高精度单斜率AD及其单片机实现.pdf99. 单片机课程设计_变频报警器.doc100. 电阻电容电感测试仪的设计与制作.pdf

    标签: Matlab 命令

    上传时间: 2013-05-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(16)

    VIP专区-嵌入式/单片机编程源码精选合集系列(16)资源包含以下内容:1. OHMETER/TEMPERATURE SENSING PROGRAM for pic16c5x.2. VOLTMETER/AD CONVERTER PROGRAM.3. Interface HT1621 to PIC16C5X.4. linux pda 输入法.5. PDA上的X86模拟器.6. verilog浮点乘发器.7. verilog浮点乘发器.8. verilog浮点乘发器.9. verilog浮点乘发器.10. c语言浮点乘发器.11. 我自己写的ds1820b温度测量程序.12. verilog写的回波抵消程序.13. DMDD的嵌入式系统构件源代码.14. AVR pocsag解码.15. ATMEL-Wireless and Microcontrollers 2000 flash api.16. 液晶GXM12232的间接访问源码。仅供参考。.17. 24c256的编程。(改载).18. 实用遥控器解码程序.19. 实用遥控器编码程序1.20. 伪随机序列编码源程序.21. 凌阳数据采集远程.22. cpld数据采集测频.23. 键盘设计 ,好东东啊.24. ADUC8XX代码.25. ADUC8XX代码.26. ADUC8XX代码.27. PPC上可以在对话框中加入菜单的例程(两种方式实现).28. 公开源代码的嵌入式操作系统r&s!建议学习!.29. SHOWFAT 显示磁盘FAT信息.30. WINHEX11V2 显示磁盘及文件信息.31. 步进电机控制试验.32. Test TIMER_A PWM Functions.33. 嵌入式操作系统及开发环境by 李驹光.34. 类linux操作系统的源代码.35. MiniWeb 在嵌入式平台上运行的WEB源代码.36. 嵌入式http源代码的文档资料.37. ucfs2004.38. 几个值得学习的C源程序.39. 基于44b0X核心的 开发板的源代码.40. 能够测转速的小程序.

    标签: 公差 实用技术

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(60)

    VIP专区-嵌入式/单片机编程源码精选合集系列(60)资源包含以下内容:1. 16 * 16 的127个ascii码的点阵字库.2. ARM MP3解码源代码 实现MP3播放应用.3. 本文介基于CPLD和USB的多路温度数据采集系统.4. 89c51+sj1000 的发送例程 can2.0 扩展帧.5. 一个很好的串口类.6. cpld状态及设计。 很好的文章。 要设计vhdl状态机的话.7. c51formenu.c该文件是一个用c51编写一个menu的例子..8. MSP430F449 子程序,MSP-FET430P440 Demo - USART1 UART 38400 Echo ISR, DCO SMCLK..9. MSP-FET430P440 Demo - WDT Toggle P5.1 Interval overflow ISR, DCO SMCLK.10. MSP-FET430P440 Demo - WDT Toggle P5.1 Interval overflow ISR, 32kHz ACLK.11. MSP-FET430P440 Demo - BasicTimer Toggle P5.1 using ISR, DCO SMCLK.12. MSP-FET430P440 Demo - BasicTimer Toggle P5.1 using ISR, 32kHz SMCLK.13. MSP-FET430P440 Demo - TIMER_A PWM TA1-2 upmode, DCO SMCLK.14. 恒颐arm9原理图(protel),直接可用.15. protel中CPLD器件的库可以方便的放进protel中.16. 这是一个以太网接口RTL8019AS和电路图一份.希望对大家有点参考.17. 关于lpc2292外接ds1302时钟芯片的驱动程序.18. 本文分别以GPI0口直接连接、串并转换连接、CPLD分部连接三种方法阐述了无外部总线的Philips ARM微控制器LPC2l0X与点阵图形液晶显示器的接口设计,并给出了硬件电路框图和主要程序。.19. arm 板的原理图 是三星的.20. BSP-15:高性能媒体处理DSP芯片开放指南说明文档.21. 如果您刚接触嵌入式开发.22. TMS320LF2407A原理图.23. 嵌入式arm-linux 2.6内核 s3c2410 的i2c总线驱动程序.24. 输入两组数.25. 应用VHDL、CPLD、EDA开发软件设计数字系统,能够显著增强设计的灵活性,提高产品的性能,减轻设计的工作量,缩短设计周期。传统的“固定功能集成块+连线”的设计方法正逐步地缩小应用范围,而基于芯片的.26. 使用lpc2114arm7内核的两个工程实例,采用ads编译器进行编译.27. 嵌入式系统开发的中英文词汇表并有详细注解,具有参考价值.28. 本程序仅供广大电子爱好者制作MP3学习和参考使用.29. arm-linux下.30. 适用于ucosii操作系统的文件系统.31. LCD 控制源代码.32. 用java写的GUI Analog Clock,用上了Observer,可以更改时间.33. s3c2410平台 直流电机有用的demo!大家共享.34. s3c2410平台 步进电机的demo.35. s3c2410平台can总线的一个例子.36. 音乐程序主要是控制频率而达到效果.37. 240x128 graphic mode/Driver ic:t6963C writed by hawk liu 2001 Aug. 22 1/128 duty 1/12.3 bia.38. USB芯片CH375EVT 资料源程序.39. 51 模拟SPI 51 模拟SPI 51 模拟SPI 51 模拟SPI.40. 基于时钟芯片DSC12C887的实时时钟系统.

    标签: 工程 手冊

    上传时间: 2013-04-15

    上传用户:eeworm