虫虫首页|资源下载|资源专辑|精品软件
登录|注册

System verilog

  • System verilog与功能验证 钟文枫 编,高清文字版

    本书重点介绍硬件设计描述和验证语言 System verilog的基本语法及其在功能验证上的应 用;书中以功能验证为主线,讲述基本的验证流程、高级验证技术和验证方法学,以 System verilog为基础结合石头、剪刀、布的应用实例,重点阐述了如何采用 System verilog实现 随机激励生成、功能覆盖率驱动验证、断言验证等多种高级验证技术;最后,通过业界流行 的开放式验证方法学 OVM介绍如何在验证平台中实现可重用性。

    标签: System verilog

    上传时间: 2022-05-12

    上传用户:lostxc

  • systemverilog+数字系统设计 Digital System Design with SystemVerilog

    国外System verilog的教材,从最基础的数字电路讲起,覆盖System verilog的各个方面

    标签: verilog 数字系统设计

    上传时间: 2022-07-25

    上传用户:d1997wayne

  • 基于FPGA的小型CPU中通信协议的研究及IPCore的开发.rar

    FPGA作为新一代集成电路的出现,引起了数字电路设计的巨大变革。随着FPGA工艺的不断更新与改善,越来越多的用户与设计公司开始使用FPGA进行系统开发,因此,PFAG的市场需求也越来越高,从而使得FPGA的集成电路板的工艺发展也越来越先进,在如此良性循环下,不久的将来,FPGA可以主领集成电路设计领域。正是由于FPGA有着如此巨大的发展前景与市场吸引力,因此,本文采用FPGA作为电路设计的首选。 @@ 随着FPGA的开发技术日趋简单化、软件化,从面向硬件语言的VHDL、VerilogHDL设计语言,到现在面向对象的System verilog、SystemC设计语言,硬件设计语言开始向高级语言发展。作为一个软件设计人员,会很容易接受面向对象的语言。现在软件的设计中,算法处理的瓶颈就是速度的问题,如果采用专用的硬件电路,可以解决这个问题,本文在第一章第二节详细介绍了软硬结合的开发优势。另外,在第一章中还介绍了知识产权核心(IP Core)的发展与前景,特别是IP Core中软核的设计与开发,许多FGPA的开发公司开始争夺软核的开发市场。 @@ 数字电路设计中最长遇到的就是通信的问题,而每一种通信方式都有自己的协议规范。在CPU的设计中,由于需要高速的处理速度,因此其内部都是用并行总线进行通信,但是由于集成电路资源的问题,不可能所有的外部设备都要用并行总线进行通信,因此其外部通信就需要进行串行传输。又因为需要连接的外部设备的不同,因此就需要使用不同的串行通信接口。本文主要介绍了小型CPU中常用的三种通信协议,那就是SPI、I2C、UART。除了分别论述了各自的通信原理外,本文还特别介绍了一个小型CPU的内部构造,以及这三个通信协议在CPU中所处的位置。 @@ 在硬件的设计开发中,由于集成电路本身的特殊性,其开发流程也相对的复杂。本文由于篇幅的问题,只对总的开发流程作了简要的介绍,并且将其中最复杂但是又很重要的静态时序分析进行了详细的论述。在通信协议的开发中,需要注意接口的设计、时序的分析、验证环境的搭建等,因此,本文以SPI数据通信协议的设计作为一个开发范例,从协议功能的研究到最后的验证测试,将FPGA 的开发流程与关键技术等以实例的方式进行了详细的论述。在SPI通信协议的开发中,不仅对协议进行了详细的功能分析,而且对架构中的每个模块的设计都进行了详细的论述。@@关键词:FPGA;SPI;I2C;UART;静态时序分析;验证环境

    标签: IPCore FPGA CPU

    上传时间: 2013-04-24

    上传用户:vvbvvb123

  • System verilog及 hdl高级设计技巧

    PPT是和视频教程配套的,视频教程地址http://i.youku.com/u/UMTExNzExOTgw/videos,和PPT配套使用的教程里面讲了systemverilog从文档到仿真,上板测试的整个流程,可能对有些朋友有帮助

    标签: Verilog System hdl 高级设计

    上传时间: 2014-12-28

    上传用户:dick_sh

  • System verilog中的随机化激励

    在SystemVeri log更强调了利用随机化激励函数以提高验证代码的效率和验证可靠性的重要性。本文以VMM库为例,阐述了如何在SystemVeri 1og中使用随机化函数来编写高效率的测试代码,重点介绍了可重验证函数库的使用方法,以帮助读者理解如何使用SystemVeri1og高效率地完成复杂的设计验证。

    标签: Verilog System 随机 激励

    上传时间: 2013-11-06

    上传用户:偷心的海盗

  • System verilog及 hdl高级设计技巧

    PPT是和视频教程配套的,视频教程地址http://i.youku.com/u/UMTExNzExOTgw/videos,和PPT配套使用的教程里面讲了systemverilog从文档到仿真,上板测试的整个流程,可能对有些朋友有帮助

    标签: Verilog System hdl 高级设计

    上传时间: 2013-11-23

    上传用户:zczc

  • Verilog digital System design 2007 second edition

    Verilog digital System design 2007 second edition

    标签: Verilog digital edition System

    上传时间: 2013-11-25

    上传用户:ayfeixiao

  • Using Verilog-A in Advanced Design System

    Using Verilog-A in Advanced Design System,英文版的关于Verilog_A的相关介绍。

    标签: Verilog-A Advanced Design System

    上传时间: 2014-01-07

    上传用户:tb_6877751

  • system C源码 一种替代verilog的语言

    system C源码 一种替代verilog的语言

    标签: verilog system 源码 语言

    上传时间: 2014-01-22

    上传用户:manlian

  • its bit the system on chip designed in verilog

    its bit the system on chip designed in verilog

    标签: designed verilog system chip

    上传时间: 2017-08-01

    上传用户:大融融rr