虫虫首页|资源下载|资源专辑|精品软件
登录|注册

Oregano

  • VHDL实现 8051 CPU核 Oregano Systems 8-bit Microcontroller IP-Core

    VHDL实现 8051 CPU核 Oregano Systems 8-bit Microcontroller IP-Core

    标签: Microcontroller Oregano IP-Core Systems

    上传时间: 2013-12-22

    上传用户:1159797854

  • 8051VHDL代码

    8051参考设计,与其他8051的免费IP相比,文档相对较全,Oregano System 提供 This is version 1.3 of the MC8051 IP core. September 2002: Oregano Systems - Design & Consulting GesmbH Change history: - Improved tb_mc8051_siu_sim.vhd to verify duplex operation. - Corrected problem with duplex operation in file   mc8051_siu_rtl.vhd

    标签: 8051 VHDL 代码

    上传时间: 2014-12-28

    上传用户:tb_6877751

  • 8051VHDL代码

    8051参考设计,与其他8051的免费IP相比,文档相对较全,Oregano System 提供 This is version 1.3 of the MC8051 IP core. September 2002: Oregano Systems - Design & Consulting GesmbH Change history: - Improved tb_mc8051_siu_sim.vhd to verify duplex operation. - Corrected problem with duplex operation in file   mc8051_siu_rtl.vhd

    标签: 8051 VHDL 代码

    上传时间: 2013-11-06

    上传用户:XLHrest

  • 8051参考设计

    8051参考设计,与其他8051的免费IP相比,文档相对较全,Oregano System 提供

    标签: 8051 参考设计

    上传时间: 2015-04-14

    上传用户:330402686