虫虫首页|资源下载|资源专辑|精品软件
登录|注册

Mux

  • d flip flop t flip flop counter Mux using active hdl can be run using 3.2 version and creating new d

    d flip flop t flip flop counter Mux using active hdl can be run using 3.2 version and creating new design

    标签: using flip flop creating

    上传时间: 2013-12-15

    上传用户:3到15

  • 16:1 Mux usind 2 4:1 Mux Strutures

    16:1 Mux usind 2 4:1 Mux Strutures

    标签: Mux Strutures usind 16

    上传时间: 2017-08-18

    上传用户:caixiaoxu26

  • 多路选择器(Mux)verilog hdl 多路选择器(Mux)verilog hdl

    多路选择器(Mux)verilog hdl 多路选择器(Mux)verilog hdl

    标签: verilog Mux hdl 多路

    上传时间: 2017-09-05

    上传用户:klin3139

  • Mux 2 to 4,Mux 4 to 1...

    Mux 2 to 4,Mux 4 to 1,reg 8 bit

    标签: Mux 2 to 4 Mux 4 to 1 reg 8 bit

    上传时间: 2016-03-27

    上传用户:thoven

  • 基于FPGA的SCI串行通信接口的研究与实现.rar

    国家863项目“飞行控制计算机系统FC通信卡研制”的任务是研究设计符合CPCI总线标准的FC通信卡。本课题是这个项目的进一步引伸,用于设计SCI串行通信接口,以实现环上多计算机系统间的高速串行通信。 本文以此项目为背景,对基于FPGA的SCI串行通信接口进行研究与实现。论文先概述SCI协议,接着对SCI串行通信接口的两个模块:SCI节点模型模块和CPCI总线接口模块的功能和实现进行了详细的论述。 SCI节模型包含Aurora收发模块、中断进程、旁路FIFO、接受和发送存储器、地址解码、Mux。在SCI节点模型的实现上,利用FPGA内嵌的RocketIO高速串行收发器实现主机之间的高速串行通信,并利用Aurora IP核实现了Aurora链路层协议;设计一个同步FIFO实现旁路FIFO;利用FPGA上的块RAM实现发送和接收存储器;中断进程、地址解码和多路复合分别在控制逻辑中实现。 CPCI总线接口包括PCI核、PCI核的配置模块以及用户逻辑三个部分。本课题中,采用FPGA+PCI软核的方法来实现CPCI总线接口。PCI核作为PCI总线与用户逻辑之间的桥梁:PCI核的配置模块负责对PCI核进行配置,得到用户需要的PCI核;用户逻辑模块负责实现整个通信接口具体的内部逻辑功能;并引入中断机制来提高SCI通信接口与主机之间数据交换的速率。 设计选用硬件描述语言VerilogHDL和VHDL,在开发工具Xilinx ISE7.1中完成整个系统的设计、综合、布局布线,利用Modelsim进行功能及时序仿真,使用DriverWorks为SCI串行通信接口编写WinXP下的驱动程序,用VC++6.0编写相应的测试应用程序。最后,将FPGA设计下载到FC通信卡中运行,并利用ISE内嵌的ChipScope Pro虚拟逻辑分析仪对设计进行验证,运行结果正常。 文章最后分析传输性能上的原因,指出工作中的不足之处和需要进一步完善的地方。

    标签: FPGA SCI 串行通信接口

    上传时间: 2013-04-24

    上传用户:竺羽翎2222

  • FPGA布线研究与实现

    现场可编程门阵列(FPGA)能够减少电子系统的开发风险和开发成本,缩短上市时间,降低维护升级成本,故广泛地应用在电子系统中。最新的FPGA都采用了层次化的布线资源结构,与以前的结构发生了很大的变化。由于FPGA布线资源的固定性和有限性,因此需要开发适用于这种层次化的FPGA结构并提高布线资源有效利用率的布线算法。同时由于晶体管尺寸的不断减小,有必要在FPGA布线算法中考虑功耗和时序问题。 本论文所作的研究工作主要包括:提出一种基于Tile的FPGA结构描述方法,对FPGA功耗模型和时序模型进行了研究,实现了考虑FPGA功耗、布线资源利用率的布线算法。 在FPGA结构描述方面,本文在分析现代商用FPGA层次化结构及学术上对FPGA描述方法的基础上,提出一种基于Tile的FPGA结构描述。由于基本Tile的重复性,采用该方法可以简化FPGA结构的描述,同时由于该方法是以硬件结构为根据,为FPGA软硬件提供了简单而灵活的接口,该方法在原型系统中测试证明是正确的。 在FPGA功耗模型方面,本文研究了ASIC中关于电路功耗计算的基本方法,并将其应用到FPGA功耗分析中。在模型中的采用了混合的功耗模型,包括动态功耗模型和静态功耗模型。动态功耗的计算采用基于节点状态转换率的开关级动态功耗计算和逻辑块宏模型,静态功耗则采用基于公式计算的晶体管漏电功耗模型和逻辑块基于仿真的LUT/Mux表达式计算模型。这些功耗模型将运用到我们后面的功耗计算和基于功耗驱动的布线算法中。 在FPGA布线算法研究和实现方面,本文在介绍基本的搜索算法之后,介绍了将FPGA硬件结构转变为FPGA布线程序可识别的布线资源图的方法,并将基本的搜索算法运用的FPGA布线资源图上,实现FPGA的基于布通率的布线算法。在此基础上,借鉴了FPGA时序分析方法,将时序分析作为布线算法的一子模块,对基于时序的布线算法进行了研究;同时采用了FPGA功耗模型,在布线算法实现中考虑了动态功耗的问题。最后在布线算法中实现两种启发式策略以提高可布线资源有效利用率。

    标签: FPGA 布线

    上传时间: 2013-04-24

    上传用户:long14578

  • PCF2116系列LCD驱动器芯片简介及封装库

    1 FEATURES· Single chip LCD controller/driver· 1 or 2-line display of up to 24 characters per line, or2 or 4 lines of up to 12 characters per line· 5 ′ 7 character format plus cursor; 5 ′ 8 for kana(Japanese syllabary) and user defined symbols· On-chip:– generation of LCD supply voltage (external supplyalso possible)– generation of intermediate LCD bias voltages– oscillator requires no external components (externalclock also possible)· Display data RAM: 80 characters· Character generator ROM: 240 characters· Character generator RAM: 16 characters· 4 or 8-bit parallel bus or 2-wire I2C-bus interface· CMOS/TTL compatible· 32 row, 60 column outputs· Mux rates 1 : 32 and 1 : 16· Uses common 11 code instruction set· Logic supply voltage range, VDD - VSS: 2.5 to 6 V· Display supply voltage range, VDD - VLCD: 3.5 to 9 V· Low power consumption· I2C-bus address: 011101 SA0.

    标签: 2116 PCF LCD 驱动器芯片

    上传时间: 2013-11-08

    上传用户:laozhanshi111

  • MAX338/MAX339的英文数据手册

      本软件是关于MAX338, MAX339的英文数据手册:MAX338, MAX339   8通道/双4通道、低泄漏、CMOS模拟多路复用器   The MAX338/MAX339 are monolithic, CMOS analog multiplexers (Muxes). The 8-channel MAX338 is designed to connect one of eight inputs to a common output by control of a 3-bit binary address. The dual, 4-channel MAX339 is designed to connect one of four inputs to a common output by control of a 2-bit binary address. Both devices can be used as either a Mux or a deMux. On-resistance is 400Ω max, and the devices conduct current equally well in both directions.   These Muxes feature extremely low off leakages (less than 20pA at +25°C), and extremely low on-channel leakages (less than 50pA at +25°C). The new design offers guaranteed low charge injection (1.5pC typ) and electrostatic discharge (ESD) protection greater than 2000V, per method 3015.7. These improved Muxes are pin-compatible upgrades for the industry-standard DG508A and DG509A. For similar Maxim devices with lower leakage and charge injection but higher on-resistance, see the MAX328 and MAX329.

    标签: MAX 338 339 英文

    上传时间: 2013-11-12

    上传用户:18711024007

  • 常系数的FIR滤波器VHDL设计文件

    常系数的FIR滤波器VHDL设计文件,在Mux+plusII调试通过

    标签: VHDL FIR 系数 滤波器

    上传时间: 2013-12-26

    上传用户:xfbs821

  • THIS CODE IS FOR EXAMPLE PURPOSES ONLY, USE AT YOUR OWN RISK, NO WARRANTY IS ASSUMED OR IMPLIED

    THIS CODE IS FOR EXAMPLE PURPOSES ONLY, USE AT YOUR OWN RISK, NO WARRANTY IS ASSUMED OR IMPLIED The intension of this code is to provide an example of attaching to the Mux layer with a custom ethernet protocol. Though the NPT code is provided for handling alternate frames, it has not been tested.

    标签: PURPOSES WARRANTY ASSUMED EXAMPLE

    上传时间: 2015-09-21

    上传用户:qq521