虫虫首页|资源下载|资源专辑|精品软件
登录|注册

LV

  • 西门子建筑电器-电气安装技术部发行的各类产品样本

    西门子建筑电器-电气安装技术部发行的各类产品样本:小型断路器、剩余电流保护断路器和模数化产品(中/ 英文)Miniature Circuit-Breakers, Residual Current Operated Circuit-Breakers and Modular Devices (Chinese/English)低压熔断器系统(中/ 英文)Fuse System (Chinese/English)雷击,过电压-不再是问题(中文)Thunderstorms - no problem (Chinese)西门子建筑电器目录(中文)Electrical Installation Technology Catalog (Chinese)终端配电保护产品(中文)5 IN 1 (Chinese)SIKUS 和 STAB UNIVERSAL 目录(中文)SIKUS and STAB UNIVERSAL Catalogue (Chinese)SIKUS HC 目录(中文)SIKUS HC Catalogue (Chinese)SentronTM 母线槽 (中文)SentronTM Busway System (Chinese)SentronTM 母线槽系统快速选型 (准备中) (中文)SentronTM Busway System quick selection (in preparing) (Chinese)建筑低压配电一体化解决方案-住宅小区应用(中文)Building LV PD Solution (Chinese)西门子 DELTA vista“远景”系列开关和插座价目表(中文)Delta vista Switch and Socket Pricelist (Chinese)instabus EIB 面向未来的楼宇智能控制系统(中文)instabus EIB (Chinese)instabus EIB 面向未来的楼宇智能控制系统技术手册 (准备中) (中文)instabus EIB technical handbook (in preparing) (Chinese)西门子电气安装技术业绩卓越(中/ 英文)ET Reference Manual (Chinese/English)

    标签: 西门子 电器 样本 电气安装

    上传时间: 2013-11-23

    上传用户:瓦力瓦力hong

  • 著名网络游戏

    著名网络游戏,GTA-SAMP的游戏脚本---全名公敌之LV版的源代码

    标签: 网络游戏

    上传时间: 2016-04-21

    上传用户:zhangliming420

  • 本人用matlab内部函数编写的BP神经网络算法实现

    本人用matlab内部函数编写的BP神经网络算法实现,采用目前最先进和流行的LV算法,速度很快,效果很好

    标签: matlab BP神经网络 函数 编写

    上传时间: 2016-09-17

    上传用户:hwl453472107

  • 一个用LabVIEW写的观测显示的小程序

    一个用LabVIEW写的观测显示的小程序,用来对单片机上传的串口数据进行显示,仅适合LV初学者。

    标签: LabVIEW 程序

    上传时间: 2013-12-29

    上传用户:ghostparker

  • 通过Labview调用外部dll(其他语言编写的动态链接库)

    通过Labview调用外部dll(其他语言编写的动态链接库),实现基于LV的数据采集。

    标签: Labview dll 语言 编写

    上传时间: 2017-09-13

    上传用户:rocwangdp

  • wireshark抓包分析TCP和UDP

    1,使用wireshark获取完整的UDP报文打开wireshark,设置监听网卡后,使用google chrome浏览器访问我腾讯微博的i http://p.t.qq.com/welcomeback.php?LV=1#!/ist/qqfriends/5/?pgv_ref-im.perinfo.pe rinfo.icon?ptlang-2052&pgv-ref-im.perinfo.perinfo.icon,抓得的UDP报文如图1所示。分析以上的报文内容,UDP作为一种面向无连接服务的运输协议,其报文格式相当简单。第一行中,Source port:64318是源端口号。第二行中,Destination port:53是目的端口号。第三行中,Length:34表示UDP报文段的长度为34字节。第四行中,Checksum之后的数表示检验和。这里0x表示计算机中16进制数的开始符,其后的4f0e表示16进制表示的检验和,把它们换成二进制表示为:0100 1111 0000 1110.从wireshark的抓包数据看出,我抓到的UDP协议多数被应用层的DNS协议应用。当一台主机中的DNS应用程序想要进行一次查询时,它构成了一个DNS查询报文并将其交给UDP,UDP无须执行任何实体握手过程,主机端的UDP为此报文添加首部字段,并将其发出。

    标签: wireshark tcp udp

    上传时间: 2022-06-20

    上传用户:hai7ying

  • 使用反电动势滤波进行无传感器BLDC控制

    简介本应用笔记说明了无传感器无刷直流(Brushless DC,BLDC)电机控制算法,该算法采用dsPIC数字信号控制器(digital signal controller,DSC)实现。该算法对电机每相的反电动势(back-Electromotive Force,back-EMF)进行数字滤波,并基于滤得的反电动势信号来决定何时对电机绕组换相。这种控制技术不需要使用离散式低通滤波硬件和片外比较器。BLDC电机的应用非常广泛。本应用笔记中描述的算法适合于电气RPM范围在40k到100k的BLDC电机。运行于此RPM范围内的一些BLDC电机应用可以是模式化RC电机、风扇、硬盘驱动、气泵以及牙钻等。本应用笔记中描述的算法可在以下两个Microchip开发板平台上实现:·PICDEMTA MCLV开发板·dsPICDEMTM MC1开发板PICDEMTM MC LV 开发板包括一片dsPIC30F3010DSC。上述算法在该器件上得以实现,因为该器件包含在PICDEMTM MCLV开发板中。然而,您也可使用dsPIC30F2010作为替代处理器以节约成本。该板的默认配置包含一个5MHz的晶振。在测试该算法时使用7.37MHz的晶振。PICDEM MCLV开发板上所使用的资源如下:

    标签: 电动势 传感器 bldc

    上传时间: 2022-06-30

    上传用户:yb9018

  • VIP专区-单片机源代码精选合集系列(2)

    eeworm.com VIP专区 单片机源码系列 2资源包含以下内容:1. 1602.rar2. SST25VF016B_SOFT.C.H.rar3. DS1302.rar4. nRF24z1的c51参考代码.rar5. Lcd(12864).rar6. PLL-MB1504-ASM.rar7. PWM.rar8. ds12887.rar9. CAN.rar10. ISD4004.rar11. STC_EEPROM.rar12. CH452L.rar13. A7102-433.rar14. hdlc_receive_code.rar15. hdmi.rar16. 51-nRF24L01code.rar17. protel99-se.rar18. 18b20.rar19. DS18B20.rar20. dianjisudu.rar21. uCos.rar22. wang-pro-1.rar23. MAX232.rar24. 单片机C语言程序设计实训100例.rar25. 实用的51子程序库.rar26. PT2262soft.rar27. 12864.rar28. FWLib.rar29. ADC_of_CC2430.rar30. ds18b20.rar31. dac0832ppt.rar32. pad.rar33. LED.rar34. qt_example.rar35. H-Bridge.rar36. LCD(1602).rar37. FATFS(10.4).rar38. adc0809.rar39. DA0832.rar40. key8x8.rar41. FAT32.rar42. dsp.rar43. IPv4-IPv6-6to4.rar44. 嵌入式C语言程序设计——使用MCS-51.rar45. 51单片机C语言常用模块与综合系统设计实例精讲.rar46. 由零开始开发STM8.pdf47. Draw2DGraph.rar48. PCF8563.rar49. LCD1602.rar50. C8051F350.rar51. M16-Mp3.rar52. codewarrior_Samples.rar53. MSP430z_f247.rar54. loadfi.rar55. ARM.rar56. JTAG.rar57. SMDK2410_ZY_V11.rar58. CNC.rar59. USB_mouse.zip60. tinyos-2.x.rar61. j_11970_93small_rtos.rar62. CH374EVT.ZIP.ZIP63. LCD.rar64. TLC5615C.rar65. ADS7843(C51).rar66. GSM@C51.rar67. RF905.rar68. s3c2440_driver.rar69. C8051Fapplication.rar70. 声控小车.rar71. MEGA8USB5andavr.rar72. fat.rar73. Keil.rar74. U_Boot_Manual.rar75. 51mcu.rar76. LM1875gongfang.rar77. fs_sample1.rar78. MPC03-LV(20051210).rar79. 51.rar80. MINIGUI-PROG-GUIDE-V2.0-4C.rar81. protelxilinx.zip82. Nucleus.rar83. DigitalPersona.rar84. 12864LCD.rar85. 5110.rar86. C52-PS2.rar87. plc.rar88. C51.rar89. 8253.rar90. H.264编码解码器源码(c语言).zip91. C51.rar92. Intelligence_alam_code.zip93. dianyuan.rar94. 嵌入式电子钟.rar95. dra_psm.rar96. WavecomQ2406.rar97. Nios.rar98. HGui4.1.rar99. avr_iar_winavr.rar100. IIC.rar

    标签: matlab 数学建模 收录 算法

    上传时间: 2013-05-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(157)

    VIP专区-嵌入式/单片机编程源码精选合集系列(157)资源包含以下内容:1. 嵌入式486手册.2. 奔腾-486处理器指令集手册.3. 1602液晶显示程序+1302温度测量+18b20显示时间(C语言).4. 51写的SLE4442程序实验用的SLE4442卡初始密码PSC1、PSC2、PSC3均为0FFH.5. 语音芯片的驱动程序.6. 嵌入式平台入门--嵌入式系统内存泄漏的检查和预防.7. 从PCI一直讲到PCI EXPRESS的文章.8. 基于TMS320F2812的工控实时时钟.9. 新代数控系统.10. S3C2442 官方 原理图 网上收集的一份原理图!.11. 高级数据链路控制(HDLC)协议的介绍文档.12. ARMSYS实验二十四:FAT16文件系统的移植与应用 描述:对Nandflash进行格式化.13. pxa270 的bootloader源码.14. ds1302源程序 (为辅试验箱调试通过).15. FPGA开发板的原理图很详细的.16. HT单片机模拟I2C通讯.17. easy web build on NXP LPC2300 LPc2400.18. 蓝牙耳机的SCH,与PCB图,可适合于自己动手的朋友们进行创作..19. ti的12位AD采集c实现.20. wince 下测试串口.21. 本系列所采用的所有技术都将“以实例来定义”.22. 用运算放大器做正弦波振荡器在学校时老师就教过.23. FPGA/CPLD数字电路设计经验分享。大唐公司的!.24. NorFlash的烧写及相关说明可以通过串口实现程序的在线升级.25. 嵌入式操作系统实时性比对与评价,尤其对windowsce性能的测试大有帮助。.26. 东芯IV的SEP3203ARM的源代码.27. 1702的资料.28. 数字逻辑交通信号灯课程设计代码请指正.29. C#程序开发范例宝典 图书光盘附带源码 第20章.30. 介绍了嵌入式linux操作系统的知识以及arm编程.31. P,PI D控制系统仿真设计的例子.32. 32位元2進位SIGNED乘法器32位元SIGNED乘法器.33. 用8051单片机和6个数码管加一片DS1302时钟芯片做成实用闹钟.34. UP-NETARM 2410-S 开发平台 电路原理图.35. 通过时钟芯片计算按键开关闭合次数和时间.36. 通过对双口ram 操作判断硬件正确性.37. Nokia 3310 驱动程序 ... KeilC.38. MPC03-LV运动控制卡驱动程序.39. 一个51整开发板的应用(源程序电路图功能全实用给有需要的人).40. I2C : 用C语言编译的I2C.

    标签: 光学 温度变送器

    上传时间: 2013-07-21

    上传用户:eeworm

  • 使用Labview所需的软件/工具 安装包汇总

    VSPD(虚拟串口) VDM工具包 VBAI工具包 nat123(labview发布外网必备) MotionAssistant(运动控制模块) labview2014中文版 labview visa安装包(学习串口必备) FPGA模块安装包 DCT工具包 DAQ安装包 Access2007安装包(学习access数据库必备) 界面美化工具包.ogp LV 2012 setup software.zip …………

    标签: Visual 串口 通信技术 编程

    上传时间: 2013-04-15

    上传用户:eeworm