虫虫首页|资源下载|资源专辑|精品软件
登录|注册

8051

  • 基于FPGA的8051单片机IP核设计及应用.rar

    单片微型计算机(单片机)是将微处理器CPU、程序存储器、数据存储器、定时/计数器、输入/输出并行接口等集成在一起。由于单片机具有专门为嵌入式系统设计的体系结构与指令系统,所以它最能满足嵌入式系统的应用要求。Intel公司生产的MCS-51系列单片机是我国目前应用最广的单片机之一。 随着可编程逻辑器件设计技术的发展,每个逻辑器件中门电路的数量越来越多,一个逻辑器件就可以完成本来要由很多分立逻辑器件和存储芯片完成的功能。这样做减少了系统的功耗和成本,提高了性能和可靠性。FPGA就是目前最受欢迎的可编程逻辑器件之一。IP核是将一些在数字电路中常用但比较复杂的功能块,设计成可修改参数的模块,让其他用户可以直接调用这些模块,这样就大大减轻了工程师的负担,避免重复劳动。随着FPGA的规模越来越大,设计越来越复杂,使用IP核是一个发展趋势。 本课题结合FPGA与8051单片机的优点,主要针对以下三个方面研究: (1)FPGA开发平台的硬件实现选用Xilinx公司的XC3S500E-PQ208-4-C作为核心器件,采用Intel公司的EEPROM芯片2816A和SRAM芯片6116作为片内程序存储器,搭建FPGA的硬件开发平台。 (2)用VHDL语言实现8051IP核分析研究8051系列单片机内部各模块结构以及各部分的连接关系,实现了基于FPGA的8051IP核。主要包括如下几个模块:CPU模块、片内数据存储器模块、定时/计数器模块、并行端口模块、串行端口模块、中断处理模块、同步复位模块等。 (3)基于FPGA的8051IP核应用用所设计的8051IP核,实现了对一个4×4键盘的监测扫描、键盘确认、按键识别等应用。

    标签: FPGA 8051 单片机

    上传时间: 2013-06-21

    上传用户:stampede

  • 基于FPGA的8051 IP核的设计

    本文探索了自主系统CPU设计方法和经验,同时对80C51产品进行了必要的改进。 文章采用XILINX公司的Virtex-ⅡPro系列FPGA芯片,在相关EDA软件平台的支持下进行基于FPGA的8051芯片的设计。在已公开的8051源代码的基础上,对其中的程序存储器、指令存储器做了较大幅度的修改,增加了定时器、串行收发器的软件编写,VerilogHDL语句共6000余行(见附录光盘)。在设计中笔者特别的注意了源代码中组合逻辑循环的去除,时序设计中合理确定建立时间和保持时间,保证了工作频率的提高(工作频率由12MHz提高到约30MHz),串行收发器的下载实验验证了该模块频率的提高。对设计高频CPU提供了有益的借鉴。本文利用Modelsim进行了功能仿真和后仿真,利用Synplify进行了综合,仿真和综合结果达到了设计的预期要求,并为下载和组成系统作了准备工作(设计了外围电路的PCB板图)。

    标签: FPGA 8051 IP核

    上传时间: 2013-06-28

    上传用户:梧桐

  • 8051单片机C语言彻底应用

    8051单片机C语言的应用 入门型书籍

    标签: 8051 单片机 C语言

    上传时间: 2013-06-08

    上传用户:雨出惊人love

  • 8051 IP核VERILOG代码

    可以用来学习8051IP核设计,掌握8051的开发,以及SOC的设计

    标签: VERILOG 8051 IP核 代码

    上传时间: 2013-04-24

    上传用户:jlyaccounts

  • 使用FPGA模拟实现8051单片机及其外设的功能

    随着电子技术的发展,当前数字系统的设计正朝着速度快、容量大、体积小、重量轻的方向发展.FPGA以其功能强大,开发过程投资少、周期短,可反复修改,保密性能好,开发工具智能化等特点成为当今硬件设计的首选方式之一.由于Intel公司的MCS-51系列单片机被公认为8位机的工业标准,因此,使用FPGA模拟实现8051单片机及其外设的功能便成为大规模复杂数字系统设计中的重要课题.该文首先介绍了FPGA及Xilinx公司关于硬件设计开发的工具ISE系统,继而用VHDL语言编写了8051单片机功能实现的源代码,然后为其设计了与部分外设连接的接口模块,包括8255并行接口、SCI串行接口和KBC键盘接口模块.并将它们封装到一块FPGA之中,最终实现了8051单片机的大部分功能.

    标签: FPGA 8051 模拟 单片机

    上传时间: 2013-07-28

    上传用户:erkuizhang

  • 基于FPGA的8051单片机IP核设计及应用

    单片微型计算机(单片机)是将微处理器CPU、程序存储器、数据存储器、定时/计数器、输入/输出并行接口等集成在一起。由于单片机具有专门为嵌入式系统设计的体系结构与指令系统,所以它最能满足嵌入式系统的应用要求。Intel公司生产的MCS-51系列单片机是我国目前应用最广的单片机之一。 随着可编程逻辑器件设计技术的发展,每个逻辑器件中门电路的数量越来越多,一个逻辑器件就可以完成本来要由很多分立逻辑器件和存储芯片完成的功能。这样做减少了系统的功耗和成本,提高了性能和可靠性。FPGA就是目前最受欢迎的可编程逻辑器件之一。IP核是将一些在数字电路中常用但比较复杂的功能块,设计成可修改参数的模块,让其他用户可以直接调用这些模块,这样就大大减轻了工程师的负担,避免重复劳动。随着FPGA的规模越来越大,设计越来越复杂,使用IP核是一个发展趋势。 本课题结合FPGA与8051单片机的优点,主要针对以下三个方面研究: (1)FPGA开发平台的硬件实现选用Xilinx公司的XC3S500E-PQ208-4-C作为核心器件,采用Intel公司的EEPROM芯片2816A和SRAM芯片6116作为片内程序存储器,搭建FPGA的硬件开发平台。 (2)用VHDL语言实现8051IP核分析研究8051系列单片机内部各模块结构以及各部分的连接关系,实现了基于FPGA的8051IP核。主要包括如下几个模块:CPU模块、片内数据存储器模块、定时/计数器模块、并行端口模块、串行端口模块、中断处理模块、同步复位模块等。 (3)基于FPGA的8051IP核应用用所设计的8051IP核,实现了对一个4×4键盘的监测扫描、键盘确认、按键识别等应用。

    标签: FPGA 8051 单片机 IP核

    上传时间: 2013-04-24

    上传用户:1417818867

  • 用FPGA实现8051内核及外设I2C接口

    8051处理器自诞生起近30年来,一直都是嵌入式应用的主流处理器,不同规模的805l处理器涵盖了从低成本到高性能、从低密度到高密度的产品。该处理器极具灵活性,可让开发者自行定义部分指令,量身订制所需的功能模块和外设接口,而且有标准版和经济版等多种版本可供选择,可让设计人员各取所需,实现更高性价比的结构。如此多的优越性使得8051处理器牢固地占据着庞大的应用市场,因此研究和发展8051及与其兼容的接口具有极大的应用前景。在众多8051的外设接口中,I2C总线接口扮演着重要的角色。通用的12C接口器件,如带12C总线的RAM,ROM,AD/DA,LCD驱动器等,越来越多地应用于计算机及自动控制系统中。因此,本论文的根本目的就是针对如何在8051内核上扩展I2C外设接口进行较深入的研究。 本课题项目采用可编程技术来开发805l核以及12C接口。由于8051内核指令集相容,我们能借助在现有架构方面的经验,发挥现有的大量代码和工具的优势,较快地完成设计。在8051核模块里,我们主要实现中央处理器、程序存储器、数据存储器、定时/计数器、并行接口、串行接口和中断系统等七大单元及数据总线、地址总线和控制总线等三大总线,这些都是标准8051核所具有的模块。在其之上我们再嵌入12C的串行通信模块,采用自下而上的方法,逐次实现一位的收发、一个字节的收发、一个命令的收发,直至实现I2C的整个通信协议。 8051核及I2C总线的研究通过可编程逻辑器件和一块外围I2C从设备TMPl01来验证。本课题的最终目的是可编程逻辑器件实现的8051核成功并高效地控制扩展的12C接口与从设备TMPl01通信。 用EP2C35F672C6芯片开发的12C接口,数据的传输速率由该芯片嵌入8051微处理的时钟频率决定。经测试其传输速率可达普通速率和快速速率。 目前集成了该12C接口的8051核已经在工作中投入使用,主要用于POS设备的用户数据加密及对设备温度的实时控制。虽然该设备尚未大批量投产,但它已成功通过PCI(PaymentCardIndustry)协会认证。

    标签: FPGA 8051 I2C 内核

    上传时间: 2013-06-18

    上传用户:731140412

  • 用FPGA实现带硬件浮点运算器的8051

    8051系列是至今为止最成功的单片机之一,在FPGA平台上研究带硬件浮点运算器的8051是对其在SoC及专用化的方向上的一次迈进。文章首先介绍了8051的基本架构,包括硬件模块、指令系统、内存分配以及基本外设。然后讲解了在设计8051时如何划分模块,每个模块的功能与设计,同时也介绍了如何设计流水线来加速8051的处理速度。对于浮点运算器,文章介绍了IEEE浮点数的表示方法,包括各种特殊值的表示方法以及作用。在探讨浮点运算器设计的时候首先是给出了模块的划分及其实现的功能,然后以生动的实例介绍了加减乘除四种浮点运算的算法。在介绍完8051与浮点运算器设计以后,文章介绍了如何将浮点运算器集成到8051上,包括硬件上的数据线接口和控制线接口,以及软件中如何运用硬件浮点运算器。最后文章给出了此设计在ModelSim上的仿真结果以及在CyclonelIFPGA芯片上的验证过程,可以清楚地看到,与KeilC51软件库的浮点运算相比,加法运算从186个时钟周期减少到4个时钟周期,减法运算从200个时钟周期减少到4个时钟周期,乘法运算从241个时钟周期减少到4个时钟周期,而除法则由原来的¨lO个时钟周期减少到4个时钟周期,可见硬件浮点运算器使8051在运算能力上有了质的提高。 笔者也在“Google”和“百度”搜索引擎上,以及“维普数据论文网’’上搜索过,都没有发现有类似的设计,带硬件浮点运算器的8051可谓是一次创新,希望在实际应用中能有用武之地。

    标签: FPGA 8051 硬件 浮点运算器

    上传时间: 2013-04-24

    上传用户:13081287919

  • 8051单片机嵌入式系统应用

    ·8051单片机嵌入式系统应用

    标签: 8051 单片机 嵌入式 系统应用

    上传时间: 2013-07-04

    上传用户:ZHWKLIU

  • 期刊论文:基于8051单片机语音控制系统的实现

    ·期刊论文:基于8051单片机语音控制系统的实现

    标签: 8051 论文 单片机 语音控制系统

    上传时间: 2013-05-28

    上传用户:13188549192