虫虫首页|资源下载|资源专辑|精品软件
登录|注册

77

  • 【固件库】STM32F10x_StdPeriph_Lib_V3.5.0

    标准外设库STM32标准外设库是一个固件函数包,它由程序、数据结构和宏组成,包括了微控制器所有外设的性能特征。该函数库还包括每一个外设的驱动描述和应用实例,为开发者访问底层硬件提供了一个中间API,通过使用固件函数库,无需深入掌握底层硬件细节,开发者就可以轻松应用每一个外设。Libraries 文件夹下面有 CMSIS 和 STM32F1xx_StdPeriph_Driver 两个目录,这两个目录包含固件库核心的所有子文件夹和文件,是代码移植的重头戏。CMSIS 文件夹存放的是符合 CMSIS 规范的一些文件, Driver 文件夹下是STM32F1标准外设固件库源码文件和对应的头文件,说白了就是将寄存器封装好的函数接口。Project 文件夹下面有STM32F1xx_StdPeriph_Examples 和STM32F1xx_StdPeriph_Template 两个文件夹,Examples文件夹下是固件示例源码,Template文件夹下是工程模板。这些源码的学习对以后的开发学习非常重要。Utilities 文件夹下就是官方评估板的一些对应源码,可以忽略不看。根目录中还有一个固件库的帮助文档 stm32f10x_stdperiph_lib_um.chm文件。

    标签: stm32

    上传时间: 2022-02-14

    上传用户:woyaotandang

  • 51外部中断讲解

    51里,从中断开始,很多初学者就会感到很迷茫,不知道自己在学什么,特上传此资料。单片机在执行程序的过程中,暂时中断执行当前的程序,转而去执行其他的应急处理程序,称为中断。例如。你正在餐厅吃饭,有朋友喊你去接电话,于是你就收到了来自朋友的一个中断(可以叫做外部中断),当你准备去接电话时,突然肚子疼,需要上厕所(内部中断),这又是一个中断,我们把引起中断的事件叫中断源(例如接电话、上厕所等,外部引起的叫外部中断,内部引起的叫内部中断),产生中断就要去处理它,这称为中断的响应。在接电话、上厕所这些中断源中,显然上厕所更需要立刻处理,这就是中断的优先级。 51单片机的中断系统十分重要,分为外部中断和定时器中断。中断发生CPU在处理某一事件A时,发生了另一事件B请求CPU迅速去处理中断响应和中断服务CPU暂时中断当前的工作,转去处理事件B中断返回待CPU将事件B处理完毕后,再回到原来事件A被中断的地方继续处理事件A这一过程称为中断单片机有10个寄存器主要与中断程序的书写控制有关中断允许控制寄存器IE定时器控制寄存器TCON串口控制寄存器SCON中断优先控制寄存器IP定时器工作方式控制寄存器TMOD定时器初值赋予寄存器(TH0/TH1,TL0/TL1)

    标签: 51单片机 外部中断

    上传时间: 2022-02-16

    上传用户:qdxqdxqdxqdx

  • 串口调试源码

    使用说明本程序完全参照龚建伟《串口调试助手V2.2》制作而成,原软件是用VC编写的,我将它改用Delphi编写,作为我学习串口编程的一个例子与工具使用。其中用到串口控件为ComPort,该控件为开源软件,各大网站均有下载,目前最新版为3.0。使用平台: WIN9X/NT/2000/XP 本软件目前仅供三线制(NONMODEM)串口调试之用,所有功能均置于界面上,一目了然,其义自明,这里仅对十六进制发送作一说明:十六进制发送:选中(CHECK)十六进制发送后,程序会自动从发送框取16进制数发送,最好按16进制格式填写,例如:12 34 56 77 10使用窗口悬浮功能:点击程序左下角的针状按钮可以使程序置于最上层,保持可见;放大至全屏:当需要扩大接收窗口以方便观看数据时,可以点击右上角最大化按钮另外:还要注意的是调试串口时,插拨串口接头应尽量关闭计算机,至少保证一端是关闭的。=================================================这个程序是我在网上下载的,在使用中发现有些问题:1、编译后发现在自动发送时有假死机现像,已经修正;2、把按键改在右边了,方便操作。

    标签: 串口调试

    上传时间: 2022-03-03

    上传用户:kingwide

  • 华为AI安全白皮书2018-cn

    华为AI安全白皮书2018-cn近年来,随着海量数据的积累、计算能力的发展、机器学习方法与系统的持续创新与演进,诸如图像识别、语音识 别、自然语言翻译等人工智能技术得到普遍部署和广泛应用。越来越多公司都将增大在AI的投入,将其作为业务发展 的重心。华为全球产业愿景预测:到2025年,全球将实现1000亿联接,覆盖77%的人口;85%的企业应用将部署到 云上;智能家庭机器人将进入12%的家庭,形成千亿美元的市场。 人工智能技术的发展和广泛的商业应用充分预示着一个万物智能的社会正在快速到来。1956年,麦卡锡、明斯基、 香农等人提出“人工智能”概念。60年后的今天,伴随着谷歌DeepMind开发的围棋程序AlphaGo战胜人类围棋冠 军,人工智能技术开始全面爆发。如今,芯片和传感器的发展使“+智能”成为大势所趋:交通+智能,最懂你的 路;医疗+智能,最懂你的痛;制造+智能,最懂你所需。加州大学伯克利分校的学者们认为人工智能在过去二十年 快速崛起主要归结于如下三点原因[1]:1)海量数据:随着互联网的兴起,数据以语音、视频和文字等形式快速增 长;海量数据为机器学习算法提供了充足的营养,促使人工智能技术快速发展。2)高扩展计算机和软件系统:近 年来深度学习成功主要归功于新一波的CPU集群、GPU和TPU等专用硬件和相关的软件平台。3)已有资源的可获得 性:大量的开源软件协助处理数据和支持AI相关工作,节省了大量的开发时间和费用;同时许多云服务为开发者提供 了随时可获取的计算和存储资源。 在机器人、虚拟助手、自动驾驶、智能交通、智能制造、智慧城市等各个行业,人工智能正朝着历史性时刻迈进。谷 歌、微软、亚马逊等大公司纷纷将AI作为引领未来的核心发展战略。2017年谷歌DeepMind升级版的AlphaGo Zero横 空出世;它不再需要人类棋谱数据,而是进行自我博弈,经过短短3天的自我训练就强势打败了AlphaGo。AlphaGo Zero能够发现新知识并发展出打破常规的新策略,让我们看到了利用人工智能技术改变人类命运的巨大潜能。 我们现在看到的只是一个开始;未来,将会是一个全联接、超智能的世界。人工智能将为人们带来极致的体验,将 积极影响人们的工作和生活,带来经济的繁荣与发展。

    标签: 华为 ai

    上传时间: 2022-03-06

    上传用户:yiyewumian

  • [网盘]淘宝购买UG编程视频-77.56GB

    编程W-SUW02-优胜UG7.5数控编程从入门到精通视频教程 UG NX7.5编程教程实例 - 8.07MB编程资料 - 16.34MB杨普编程详细介绍.zip批量编程.zip - 1.53MB编程.zip - 71.19MB7年编程大师亲自编写.zip - 3.92GB比亚迪编程作业标准.zip - 12.33MB13.编程常用建模命令.zip - 7.50MB......

    标签: ug 编程

    上传时间: 2022-05-02

    上传用户:fliang

  • 林轩田机器学习笔记

    台湾大学林轩田老师的《机器学习基石》课程由浅入深、内容全面,基本涵盖了机器学习领域的很多方面。其作为机器学习的入门和进阶资料非常适合。而且林老师的教学风格也很幽默风趣,总让读者在轻松愉快的氛围中掌握知识。在此,笔者将把这门课的所有视频、笔记、书籍等详细资料分享给大家。

    标签: 机器学习

    上传时间: 2022-05-04

    上传用户:pagedown

  • 全志H6 开发板评估板 CADENCE_ORCAD硬件原理图+PCB文件

    全志H6 开发板评估板 CADENCE_ORCAD硬件原理图+PCB文件,全志H6采用arm 四核A53架构,搭配MaliT720 GPU,支持OpenGL3.1,支持DDR4、EMMC5.0,芯片性能比上一代提高77%,解码支持4K@60fps,最高分辨率可达6K(5780×2890),支持 HDR10、HLG,并集成Allwinner Smartcolor3.0智能画质引擎,另外,H6还提供了多种高速接口,包括USB3.0,PCIe2.0,千兆网口等,传输更快,信号更强。

    标签: h6开发板 orcad

    上传时间: 2022-05-11

    上传用户:XuVshu

  • VHDL 基础程序百例 FPGA 逻辑设计源码

    VHDL 基础程序百例 FPGA 逻辑设计源码VHDL语言100例第1例 带控制端口的加法器第2例 无控制端口的加法器第3例 乘法器第4例 比较器第5例 二路选择器第6例 寄存器第7例 移位寄存器第8例 综合单元库第9例 七值逻辑与基本数据类型第10例 函数第11例 七值逻辑线或分辨函数第12例 转换函数第13例 左移函数第14例 七值逻辑程序包第15例 四输入多路器第16例 目标选择器第17例 奇偶校验器第18例 映射单元库及其使用举第19例 循环边界常数化测试第20例 保护保留字第21例 进程死锁 第22例 振荡与死锁第23例 振荡电路第24例 分辨信号与分辨函数第25例 信号驱动源第26例 属性TRANSACTION和分辨信号第27例 块保护及属性EVENT,第28例 形式参数属性的测试第29例 进程和并发语句第30例 信号发送与接收第31例 中断处理优先机制建模第32例 过程限定第33例 整数比较器及其测试第34例 数据总线的读写第35例 基于总线的数据通道第36例 基于多路器的数据通道第37例 四值逻辑函数第38例 四值逻辑向量按位或运算第39例 生成语句描述规则结构第40例 带类属的译码器描述第41例 带类属的测试平台第42例 行为与结构的混合描述第43例 四位移位寄存器第44例 寄存/计数器第45例 顺序过程调用第46例 VHDL中generic缺省值的使用第47例 无输入元件的模拟第48例 测试激励向量的编写第49例 delta延迟例释第50例 惯性延迟分析第51例 传输延迟驱动优先第52例 多倍(次)分频器第53例 三位计数器与测试平台第54例 分秒计数显示器的行为描述6第55例 地址计数器第56例 指令预读计数器第57例 加.c减.c乘指令的译码和操作第58例 2-4译码器结构描述第59例 2-4译码器行为描述第60例 转换函数在元件例示中的应用第61例 基于同一基类型的两分辨类型的赋值相容问题第62例 最大公约数的计算第63例 最大公约数七段显示器编码第64例 交通灯控制器第65例 空调系统有限状态自动机第66例 FIR滤波器第67例 五阶椭圆滤波器第68例 闹钟系统的控制第69例 闹钟系统的译码第70例 闹钟系统的移位寄存器第71例 闹钟系统的闹钟寄存器和时间计数器第72例 闹钟系统的显示驱动器第73例 闹钟系统的分频器第74例 闹钟系统的整体组装第75例 存储器第76例 电机转速控制器第77例 神经元计算机第78例ccAm2901四位微处理器的ALU输入第79例ccAm2901四位微处理器的ALU第80例ccAm2901四位微处理器的RAM第81例ccAm2901四位微处理器的寄存器第82例ccAm2901四位微处理器的输出与移位第83例ccAm2910四位微程序控制器中的多路选择器第84例ccAm2910四位微程序控制器中的计数器/寄存器第85例ccAm2910四位微程序控制器的指令计数器第86例ccAm2910四位微程序控制器的堆栈第87例 Am2910四位微程序控制器的指令译码器第88例 可控制计数器第89例 四位超前进位加法器第90例 实现窗口搜索算法的并行系统(1)——协同处理器第91例 实现窗口搜索算法的并行系统(2)——序列存储器第92例 实现窗口搜索算法的并行系统(3)——字符串存储器第93例 实现窗口搜索算法的并行系统(4)——顶层控制器第94例 MB86901流水线行为描述组成框架第95例 MB86901寄存器文件管理的描述第96例 MB86901内ALU的行为描述第97例 移位指令的行为描述第98例 单周期指令的描述第99例 多周期指令的描述第100例 MB86901流水线行为模型

    标签: vhdl fpga

    上传时间: 2022-05-14

    上传用户:zinuoyu

  • 基于MLX90614迷你测温计(额温枪)PCB+程序

    摘要: MLX90614 系列模块是一组通用的红外测温模块。 在出厂前该模块已进行校验及线性化,具有非接触、体积小、精度高,成本低等优点。被测目标温度和环境温度能通过单通道输出,并有两种输出接口,适合于汽车空调、室内暖气、家用电器、手持设备以及医疗设备应用等。1. 迷你测温计,CPU:NE76E003AQ20(QFN20), sensor: MLX906142. 单电池供电,工作电流约38mA @ 1.2V3. PCB文件为AD10格式4. 固件KEIL C51(需确保新唐N76E003开发包)

    标签: 额温枪 mlx90614 pcb

    上传时间: 2022-05-30

    上传用户:kid1423

  • (网盘)汇编语言视频教程

    王爽—汇编语言视频教程操作码研究资料(稀有)小甲鱼—最有趣生动教程(77讲).rar清华大学《汇编语言程序设计》温冬婵 64讲.rar汇编资料(杂).rar汇编语言程序设计-李涛27-52.rar汇编语言编程艺术(清晰版).pdf汇编语言_第2版(王爽).pdf[吉林大学]汇编语言.rar80X86汇编语言程序设计教程.pdf

    标签: 汇编语言

    上传时间: 2022-06-14

    上传用户:jimmy950583