虫虫首页|资源下载|资源专辑|精品软件
登录|注册

11A

  • IEEE80211A物理层关键技术研究——FIR滤波器与Viterbi译码器的FPGA实现

    无线局域网(WLAN,Wireless Local Area Network)是未来移动通信系统的重要组成部分.为了满足用户高速率、方便灵活的接入互联网的需求,WLAN的研究和建设正在世界范围内如火如荼的展开.由于摆脱了有线连接的束缚,无线局域网具有移动性好、成本低和不会出现线缆故障等特点.该文对无线局域网的主流协议IEEE 802.11A的物理层实现技术进行了系统的研究和分析,并采用可编程ASIC器件FPGA,设计实现了物理层基带处理的关键模块,为今后形成具有自主知识产权的IP核奠定了基础.该文研究内容得到了天津市信息化办公室"宽带无线局域网关键技术研究"项目经费的支持.该文在对IEEE 802.11A协议深入研究的基础上,提出了物理层的实现方案和功能模块划分.重点研究了实现基带处理的关键模块:FIR滤波器、卷积码编码器以及(2,1,7)Viterbi译码器的实现算法和硬件结构.在Viterbi译码器的设计中,

    标签: Viterbi 80211A 80211 IEEE

    上传时间: 2013-06-19

    上传用户:xinzhch

  • 基于IEEE80211A的OFDM传输系统的接收机算法研究与FPGA实现

    作为一项正在兴起的无线应用服务,无线局域网已在机场、校园、会议室、甚至在家庭都有所应用.它正叩开高速无线数据业务市场的大门.目前,无线局域网仍处于众多标准共存时期.每一标准的背后都有大公司或者大集团的支持.在众多无线局域网协议中IEEE802.11A协议是很有特色的一个,它的优势在于采用了正交频分复用(OFDM)方式来传输数据,该技术可帮助提高速度和改进信号质量,并可克服干扰,因此得到众多关注.为了让这种高速的局域网真正应用到实际中,我们的项目就是要在硬件上实现基于IEEE802.11A协议的OFDM系统的发射机和接收机,而本文的主要工作就是用FPGA实现这个系统的内接收机.内接收机主要包括同步估计和信道估计.但是目前OFDM系统中包括同步、信道编码、信道估计、用户检测、降低峰均比等一些关键技术在具体实现上还存在着一些困难.许多文献对这些关键技术基本停留在理论上的讨论,与具体的实现还存在很大的差距.因此本文通过研究同步和信道估计的多种算法的性能和其实现的复杂度,提出一种适合在IEEE802.11A协议环境下的同步算法和信道估计,用FPGA加以实现.首先本文总结了目前OFDM系统信道估计的算法.在此基础上详细的讨论了基于IEEE802.11A协议的OFDM系统可以采用的信道估计方法:(1)提出了借助训练序列的LS估计法和LS-average估计法,分别在AWGN信道和多径信道对这两种方法进行了比较,证明无论在哪种信道环境下后者性能都要好于前者.为了能够进一步提高信道估计器的性能,在LS-average算法的基础上提出了消噪算法(NRA).(2)提出了借助导频的DFT插值算法.其次本文总结了目前OFDM系统同步的算法.OFDM系统同步包括定时同步和载波同步,其中定时同步又分为符号同步和抽样同步.本文主要是研究定时同步,而载波同步只是简单的讨论,因为在这项目中这是另有负责人.本文针对基于IEEE802.11A协议的OFDM系统把定时同步分为粗定时同步和细定时同步.然后分别对粗定时同步和细定时同步进行了详细的讨论.其中对粗定时同步的方法有:利用短训练序列和利用循环前缀,并对这两种方法进行了比较.对细定时同步是利用导频来跟踪.最后根据前面两章提出的算法所分析的结果,以及突发OFDM系统的信号和信道特征,选取了其中一种信道估计算法和定时同步算法,结合合作伙伴所提出的载波同步算法一起用FPGA实现整个基于IEEE802.11A协议的OFDM系统的内接收机,并分别测试了各个模块的性能以及综合模块的性能.

    标签: 80211A 80211 IEEE FPGA

    上传时间: 2013-05-26

    上传用户:zhengzg

  • 基于IEEE80211A的OFDM基带传输系统的研究及其部分模块的FPGA实现

    IEEE802旗下的无线网络协议引领了无线网络领域的新革命,其不断提升的速度优势满足了人们对于高速无线接入的迫切要求,在这其中,OFDM技术所起的作用不可小觑。随着FPGA、信号处理和通信技术的发展,OFDM的应用得到了长足的进步。在此情况下,以OFDM技术为核心实现数据传输的原型机系统显得应情应景而且必要。 本课题在深入理解OFDM技术的同时,结合相应的EDA工具对系统进行建模并基于IEEE802.11A物理层标准给出了一种OFDM基带传输的系统实现方案。整个设计采用目前主流的自顶向下的设计方法,由总体设计至详细设计逐步细化。 在系统功能模块的FPGA实现过程中,针对XilinxVirtex-Ⅱ芯片对各个模块进行了详细设计,通过采用双端口RAM、流水、乒乓结构等处理实现高速的同步的信道编码的功能模块;通过比较符号定时的不同算法,给出了基于MultiplierlessCorrelator的实现结构并给出了仿真波形图,验证了采用该算法后符号定时模块的资源耗费大大降低而功能却依然和基于乘法器的符号定时模块相当;通过对Viterbi算法进行简化,给出了(2,1,6)卷积码的4比特软判决Viterbi解码器的设计和实现。最后根据系统所选芯片XC2V3000给出了具有较高配置灵活性的基于SystemACE配置方案的FPGA的硬件原理图设计和PCB设计。 本文首先以无线局域网和IEEE802无线网络家族引出OFDM技术发展、研究价值及OFDM的优缺点,接下来从OFDM原理入手,简要说明了OFDM的基本要素以及目前的研究热点,之后在介绍完IEEE802.11A物理层标准的同时给出了本原型机系统的总体设计方案,并从硬件语言设计和FPGA硬件原理设计两方面给出了该系统的详细设计。 随着OFDM技术的普及以及未来通信技术对OFDM的青睐,相信本论文的工作对OFDM基带传输系统的原型设计和实现具有一定的参考价值。

    标签: 80211A 80211 IEEE FPGA

    上传时间: 2013-07-13

    上传用户:远远ssad

  • 突发OFDM系统接收机同步算法设计及其FPGA实现

    目前,以互联网业务为代表的网络应用,正快速地向包括数据、语音、图像的综合宽带多媒体方向发展,构建宽带化、大容量、全业务、智能化的现代通信网络已成为大势所趋.宽带无线接入(BWA)凭借其组网快速灵活、运营维护方便及成本较低等竞争优势,迅速成为市场热点,各种微波、无线通信领域的先进手段和方法不断引入,各种宽带无线接入技术迅速涌现.由于BWA要用于非视距传输,所以必须考虑无线信道的多经效应.而OFDM技术凭借着鲁棒的对抗频率选择性衰落能力和极高频谱效率引起了学术界和工业界的高度重视.其基本思想是把调制在单载波上的高速串行数据流,分成多路低速的数据流,调制到多个正交载波上并行传输,这样在传输时,虽然整个信道是频率选择性衰落,但是各个子信道却是平坦衰落,有效对抗了多经效应,同时由于各个子载波是正交的,极大提高了频谱效率.可以预料的是,随着通信系统将向基于IPv6核心网的全IP包的传输方向发展,越来越多的通信系统将具有"突发模式"的特征.本文关注的正是突发OFDM系统接收机设计和实现.由于IEEE 802.11A无线局域网是OFDM技术第一次真正的应用于突发系统,实现了面向IP的无线宽带传输,所以基于IEEE 802.11A的突发OFDM系统有着重要的借鉴和研究价值,本文也正是围绕着这个中心而展开.本文的各章节安排如下:在第一章中主要介绍OFDM的技术原理和在宽带无线接入中的应用,同时引出本文所关注的突发OFDM接收机设计.在第二章中先介绍了相干接收和信道估计的概念,重点分析了本文所采用的WLAN信道模型和信道估计算法,然后在得到同步误差表达式的基础上,先用星座图直观的表现OFDM系统中各种同步误差的影响,再从信噪比损失的角度对符种同步误差进行分析.第三章是本文的重点之一,在本章中对基于IEEE 802.11A的各种同步算法包括帧检测和符号定时、载波同步和采样时钟同步进行仿真和比较,并针对适合FPGA实现的同步算法进行了重点的分析.第四章也是本文的重点之一,提出了整个OFDM系统平台的硬件结构和基于IEEE 802.11A的接收机FPGA设计方案,然后从整体上介绍了接收机的实现结构,并给出了接收机各个模块的具体设计,最后对整个系统调试过程和测试结果进行了分析.

    标签: OFDM FPGA 接收机

    上传时间: 2013-04-24

    上传用户:zhoujunzhen

  • OFDM系统帧检测及同步算法FPGA设计与实现

    正交频分复用(OFDM)技术是一种多载波数字调制技术,它具有频谱利用率高、抗多径能力强等特点,在宽带无线多媒体通信领域中受到了广泛的关注。 OFDM系统可分为连续工作模式和突发工作模式。在IEEE802.11A、HiperLANType2等无线局域网标准中采用了OFDM的突发工作模式,该模式下的接收机首先对符合某种特定格式的帧做出检测。本文介绍了一种基于最小错误概率准则的帧检测算法,提出了该算法的FPGA实现方案。 同步技术是OFDM最关键的技术之一,它包括载波频率同步和符号同步。载波频率同步是为了纠正接收端相对于发送端的载波频率偏移,以保证子载波间的正交性;符号同步确定OFDM符号有用数据信息的开始时刻,也就是确定FFT窗的开始时刻。本文首先介绍了一种基于自相关的载波频率同步算法,给出了它的FPGA实现方案,重点讲述了其中用到的Cordic算法及其实现;然后介绍了分别基于互相关和自相关的两种符号同步算法,给出了各自的FPGA实现方案,从实现的角度比较了两种算法的优缺点,并且在FPGA设计中体现了面积复用和流水线操作的设计思想。 文章最后介绍了系统调试的情况,总结出一种ChipScopePro与Matlab相结合的调试方法,该方法在FPGA调试方面具有一定的通用性。

    标签: OFDM FPGA

    上传时间: 2013-07-15

    上传用户:Killerboo

  • OFDM发射机系统的FPGA设计

    无线局域网是计算机网络技术和无线通信技术相结合的产物,是利用无线媒介传输信息的计算机网络。在无线通信信道中,由于多径时延不可避免地存在符号间干扰,正交频分复用(OFDM)作为一种可以有效对抗符号间干扰(ISI)和提高频谱利用率的高速传输技术,引起了广泛关注。在无线局域网(WLAN)系统中,OFDM调制技术已经被采用作为其物理层标准,并且公认为是下一代无线通信系统中的核心技术。基于IEEE802.11A的无线局域网标准的物理层采用了OFDM技术,能有效的对抗多径信道衰落,达到54Mbps的速度,而未来而的IEEE802.11n将达到100Mbps的高速。因此,研发以OFDM为核心的原型机研究非常有必要。 本文在深入理解OFDM技术的同时,结合相应的EDA工具对系统进行建模并基于IEEE802.11A物理层标准给出了一种OFDM基带发射机系统的FPGA实现方案。整个设计采用目前主流的自顶向下的设计方法,由总体设计至详细设计逐步细化。在系统功能模块的FPGA实现过程中,针对Xilinx一款160万门的Spartan-3E XCS1600E芯片,依照:IEEE802.11A帧格式,对发射机系统各个模块进行了详细设计和仿真: (1)训练序列生成模块,包括长,短训练序列; (2)信令模块,包括卷积编码,交织,BPSK调制映射; (3)数据模块,包括加扰,卷积编码,删余,交织,BPSK/QPSK/16QAM/64QAM调制映射; (4)OFDM处理部分,包括导频插入,加循环前缀,IFFT处理; (5)对整个发射处理部分联调,并给出仿真结果另外,还完成了接收机部分模块的FPGA设计,并给出了相应的顶层结构与仿真波形。最后提出了改进和进一步开发的方向。

    标签: OFDM FPGA 发射机

    上传时间: 2013-04-24

    上传用户:李彦东

  • 基于可重配置的OFDM基带系统的FPGA设计

    1992年5月,JoeMitola首次明确提出了软件无线电的概念。软件无线电将模块化、标准化的硬件单元连接构成硬件平台,通过软件加载实现各种无线通信功能。端到端重配置技术是在软件无线电的基础上发展起来的,该技术使通信系统不仅具有重配置的能力,还能提供一体化的重配置管理架构,实现联合无线资源管理和网络规划。端到端重配置技术已经成为软件无线电的发展趋势。 宽带无线接入(BWA,BroadbandWirelessAccess)是当前通信界研究的热点之一,而WiMax和WiFi是BWA中最热门的两个技术,所以本文选择了IEEE802.16-2004与IEEE802.11A,设计了基于其物理层标准的可重配置OFDM基带系统。它们均采用正交频分复用技术(OFDM,OrthogonalFrequencyDivisionMultiplexing)。 本文研究了IEEE802.16-2004与IEEE802.11A物理层标准,结合Altera公司提供的FPGA开发工具QuartusⅡ、Mentor公司仿真工具ModelsimSE6.0,完成了基于IEEE802.16-2004及IEEE802.11A的可重配置OFDM基带系统的FPGA设计。该设计中,对FPGA进行重新配置,实现了802.16-2004与802.11A两种技术的完全重配置;通过选择不同的参数来调用不同子模块,实现802.16-2004与802.11A内部不同调制技术的局部重配置。该可重配置基带系统核心的FFT/IFFT。模块采用基4按频率抽取及Cordic算法,消除乘法运算,有利于FPGA实现;在802.16-2004系统中,选取了基于前导序列的符号同步算法,在FPGA中实现。最后使用开发软件、综合软件以及仿真软件分析了系统的性能并给出了系统的性能指标。

    标签: OFDM FPGA 可重配置 基带系统

    上传时间: 2013-05-19

    上传用户:branblackson

  • 透过MOSFET电压电流最佳化控制传导性及辐射性EMI

    經由改變外部閘極電阻(gate resistors)或增加一個跨在汲極(drain)和源極(source)的小電容來調整MOSFET的di/dt和dv/dt,去觀察它們如何對EMI產生影響。然後我們可了解到如何在效率和EMI之間取得平衡。我們拿一個有著單組輸出+12V/4.1A及初級側MOSFET AOTF11C60 (αMOSII/11A/600V/TO220F) 的50W電源轉接器(adapter)來做傳導性及輻射性EMI測試。

    标签: MOSFET EMI 电压电流 控制

    上传时间: 2014-09-08

    上传用户:swing

  • JX系列静态信号继电器使用说明书

    一、概述JX系列静态信号继电器(以下简称继电器),用于交直流操作的保护和自动控制装置中,作为动作指示信号用。该继电器采用进口超小型元件、电子线路设计先进、结构合理,具有以下鲜明特点:1、继电器带有动作指示灯,既能电动复归,又能手动复归,有利于实现建立自动化无人值班要求。2、反应灵敏,动作速度快,解决了原电磁型信号继电器反应不了真空开关快速动作指示信号的缺陷。3、电流型继电器动作电流范围宽,从0.01~2A,可用一种规格代替原来的多种规格继电器,极大的有利于设计、选购。4、具有磁保持记忆功能。继电器无噪声,动作可靠。5、具有多组动合触点,能满足信号指示及远动等要求。可任意接入LED光字牌或白炽灯光字牌。6、外壳形式及端子接线同原电磁型的底座、尺寸和外形相同,可完全代替DXM-2A、DX-8、DX-8G、DX-11、DX-11A、DX-31A、DX-31B、DX-32A、DX-32B。长期使用,继电器无噪声。7、动作指示鲜明、可靠,寿命长。

    标签: 静态信号 继电器 使用说明书

    上传时间: 2013-11-23

    上传用户:妄想演绎师

  • 基于IEEE802.11的MIMO系统的分析和设计

    基于提高WLAN系统的容量和频谱利用率的目的,在不改变现有WLAN协议的情况下,采用了IEEE802.11媒体接入控制(MAC)协议与MIMO系统相结合的方法。首先对空时编码技术和智能天线技术两种MIMO系统进行可行性分析,确定采用空时编码技术的MIMO系统;再进一步针对分层空时码、网格空时码和分组空时码等几种空时编码的特性进行比较,最终得到IEEE802.11A结合分组空时码实现WLAN的MIMO系统的优选方案。

    标签: 802.11 IEEE MIMO

    上传时间: 2013-10-15

    上传用户:jisujeke