虫虫首页|资源下载|资源专辑|精品软件
登录|注册

高速铁路

高速铁路,简称高铁,是指设计标准等级高、可供列车安全高速行驶的铁路系统。其概念并不局限于轨道,更不是指列车。
  • 基于FPGA的RS255,223编解码器的高速并行实现.rar

    随着信息时代的到来,用户对数据保护和传输可靠性的要求也在不断提高。由于信道衰落,信号经信道传输后,到达接收端不可避免地会受到干扰而出现信号失真。因此需要采用差错控制技术来检测和纠正由信道失真引起的信息传输错误。RS(Reed—Solomon)码是差错控制领域中一类重要的线性分组码,由于它编解码结构相对固定,性能强,不但可以纠正随机差错,而且对突发错误的纠错能力也很强,被广泛应用在数字通信、数据存储系统中,以满足对数据传输通道可靠性的要求。因此设计一款高性能的RS编解码器不但具有很大的应用意义,而且具有相当大的经济价值。 本文首先介绍了线形分组码及其子码循环码、BCH码的基础理论知识,重点介绍了BCH码的重要分支RS码的常用编解码算法。由于其算法在有限域上进行,接着介绍了有限域的有关理论。基于RS码传统的单倍结构,本文提出了一种八倍并行编码及九倍并行解码方案,并用Verilog HDL语言实现。其中编码器基于传统的线性反馈移位寄存器除法电路并进行八倍并行扩展,译码器关键方程求解模块基于修正的欧几里德算法设计了一种便于硬件实现的脉动关键方程求解结构,其他模块均采用九倍并行实现。由于进行了超前运算、流水线及并行处理,使编解码的数据吞吐量大为提高,同时延时更小。 本论文设计了C++仿真平台,并与HDL代码结果进行了对比验证。Verilog HDL代码经过modelsim仿真验证,并在ALTERA STRATIX3 EP3SL15OF1152C2 FPGA上进行综合验证以及静态时序分析,综合软件为QUATURSⅡ V8.0。验证及测试表明,本设计在满足编解码基本功能的基础上,能够实现数据的高吞吐量和低延时传输,达到性能指标要求。本论文在基于FPGA的RS(255,223)编解码器的高速并行实现方面的研究成果,具有通用性、可移植性,有一定的理论及经济价值。

    标签: FPGA 255 223

    上传时间: 2013-04-24

    上传用户:思琦琦

  • 高速实时信号处理系统的FPGA软件设计与实现.rar

    随着现代DSP、FPGA等数字芯片的信号处理能力不断提高,基于软件无线电技术的现代通信与信息处理系统也得到了更为广泛的应用。软件无线电的基本思想是以一个通用、标准、模块化的硬件系统作为其应用平台,把尽可能多的无线及个人通信和信号处理的功能用软件来实现,从而将无线通信新系统、新产品的开发逐步转移到软件上来。另一方面,现代信号处理系统对数据的处理速度、处理精度和动态范围的要求也越来越高,需要每秒完成几千万到几百亿次运算。因此研制具备高速实时信号处理能力的通用硬件平台越来越受到业界的重视。 @@ 目前的高速实时信号处理系统一般均采用DSP+FPGA的架构,其中DSP主要负责完成系统通信和基带信号处理算法,而FPGA主要完成信号预处理等前端算法,并提供系统常用的各种外部接口逻辑。本文的主要工作就在于完成通用型高速实时信号处理系统的FPGA软件设计。 @@ 本文提出了一种基于多DSP与FPGA的通用高速实时信号处理系统的架构。综合考虑各方面因素,作者选择使用两片ADSP-TS201浮点DSP以混合耦合模型构成系统信号处理核心;以Xilinx公司最新的高性能FPGA Virtex-5系列的XC5VLX50T提供系统所需的各种接口,包括与ADSP-TS201的高速Linkport接口以及SPI、UART、SPORT等常用外设接口。此外,作者还选择了ADSP-BF533定点DSP加入系统当中以扩展系统音视频信号处理能力,体现系统的通用性。 @@ 基于FPGA的嵌入式系统设计正逐渐成为现代FPGA应用的一个热点。结合课题需要,作者以Xilinx公司的MicroBlze软核处理器为核心在Virtex-5片内设计了一个嵌入式系统,完成了对CF卡、DDR2 SDRAM存储器的读写控制,并利用片内集成的三态以太网MAC硬核模块,实现了系统与上位PC机之间的以太网通信链路。此外,为扩展系统功能,适应未来可能的软件升级,进一步提高系统的通用性,还将嵌入式实时操作系统μC/OS-II移植到MicroBlaze处理器上。 @@ 最后,作者介绍了基于Xilinx RocketIO GTP收发器的高速串行传输设计的关键技术和基本的设计方法,充分体现了目前高速实时信号处理系统的发展要求和趋势。 @@关键词:高速实时信号处理;FPGA;Virtex-5;嵌入式系统;MicroBlaze

    标签: FPGA 实时信号 处理系统

    上传时间: 2013-05-17

    上传用户:wangchong

  • 基于FPGA的高速串行接口模块仿真设计.rar

    现代社会信息量爆炸式增长,由于网络、多媒体等新技术的发展,用户对带宽和速度的需求快速增加。并行传输技术由于时钟抖动和偏移,以及PCB布线的困难,使得传输速率的进一步提升面临设计的极限;而高速串行通信技术凭借其带宽大、抗干扰性强和接口简单等优势,正迅速取代传统的并行技术,成为业界的主流。 本论文针对目前比较流行并且有很大发展潜力的两种高速串行接口电路——高速链路口和Rocket I/O进行研究,并以Xilinx公司最新款的Virtex-5 FPGA为研究平台进行仿真设计。本论文的主要工作是以某低成本相控阵雷达信号处理机为设计平台,在其中的一块信号处理板上,进行了基于LVDS(Low VoltageDifferential Signal)技术的高速LinkPort(链路口)设计和基于CML(Current ModeLogic)技术的Rocket I/O高速串行接口设计。首先在FPGA的软件中进行程序设计和功能、时序的仿真,当仿真验证通过之后,重点是在硬件平台上进行调试。硬件调试验证的方法是将DSP TS201的链路口功能与在FPGA中的模拟高速链路口相连接,进行数据的互相传送,接收和发送的数据相同,证明了高速链路口设计的正确性。并且在硬件调试时对Rocket IO GTP收发器进行回环设计,经过回环之后接收到的数据与发送的数据相同,证明了Rocket I/O高速串行接口设计的正确性。

    标签: FPGA 高速串行 接口模块

    上传时间: 2013-04-24

    上传用户:恋天使569

  • 基于FPGA的高速数据采集存储系统设计.rar

    高速大容量数据采集存储技术在通信、航天、气象、雷达等多个领域中拥有着广泛应用。各领域科技与信息技术不断发展,对数据的采集和传输速率要求越来越高,对数据存储的速度和容量要求也越来越高。高速数据存储主要包括存储介质选取、存储器控制、数据存储和总线应用等,如何实时、高速、连续大量地采集存储数据是一个关键性问题。 本文设计了一种基于FPGA控制的高速数据采集存储系统。该系统选用符合ATA-6规范的IDE硬盘作为数据存储介质,采用RAID0配置的磁盘阵列形式,并配合板载的128MB内存实现对数据的高速大容量稳定存储。 该磁盘阵列同时管理五个IDE硬盘,平均数据流达到250MB/s,峰值传输速率达到500MB/s,也可以扩展更多硬盘构成大容量的磁盘阵列。系统采用PCI-9054桥芯片与计算机连接,可同时存储四路AD数据,可以通过人机交互界面实时监控数据采集情况,在计算机上实现整个磁盘阵列的实时控制。

    标签: FPGA 高速数据 采集

    上传时间: 2013-06-14

    上传用户:2404

  • 基于FPGA的高速FIR数字滤波器设计.rar

    本论文设计了一种基于FPGA的高速FIR数字滤波器,滤波器实现低通滤波,截止频率为1MHz,通带波纹小于1 dB,阻带最大衰减为-40 dB,输入输出数据为8位二进制,采样频率为10MHz。 论文首先简要介绍了数字滤波器的基本原理和线性FIR数字滤波器的性质、结构,根据滤波器的性能要求选择窗函数、确定系数,在算法上为了满足数字滤波器的要求,对系数放大512倍并取整,并用Matlab对数字滤波器原理进行了证明。同时简述了EDA技术和FPGA设计流程。 其次,论文说明了FIR数字滤波器模块的划分,并用Verilog语言在Modelsim环境下进行了功能测试。对于数字滤波器系数中的-1,-2,4这些简单的系数乘法直接进行移位和取反,可以极大的节省资源和优化设计。而对普通系数乘法采用4-BANT(4bits-at-a-time)的并行算法,用加法累加快速实现了乘积的运算;另外,在本设计进行部分积累加时,采用舍取冗余位,主要是根据设计时已对系数进行了放大,而输出时又要将结果相应的缩小,所以在累加时,提前对部分积缩小,从而减少了运算量,从时间和资源上都得到了优化。 论文的最后分别用Modelsim和Quartus II进行了FIR数字滤波器的前仿真和后仿真,将仿真的结果和Matlab中原理验证时得到的理想值进行了比较,并对所产生的误差进行了分析。仿真结果表明:本16阶FIR数字滤波器设计能够实现截止频率为1MHz的低通滤波,并且工作频率可达150MHz以上。

    标签: FPGA FIR 数字

    上传时间: 2013-05-24

    上传用户:qiaoyue

  • 应用FPGA的高速数据采集的设计与实现.rar

    随着计算机技术的突飞猛进以及移动通讯技术在日常生活中的不断深入,数据采集不断地向多路、高速、智能化的方向发展。本文针对此需求,实现了一种应用FPGA的多路、高速的数据采集系统,从而为测量仪器提供良好的采集数据。 本文设计了一种基于AD+FPGA+DSP的多路数据采集处理系统,针对此系统设计了基于AD9446的模数转换采集板,再将模数转换采集板的数据传送至基于FPGA的采集控制模块进行数据的压缩以及缓冲存储,最后由DSP调入数据进行数据的处理。本文的设计主要分为两部分,一部分为模数转换采集板的设计与调试,另一部分为采集控制模块的设计与仿真。 经设计与调试,模数转换模块可为系统提供稳定可靠的数据,能稳定工作在百兆的频率下;采集控制模块能实时地完成数据压缩与数据缓冲,并能通过时钟管理模块来控制前端AD的采样,该模块也能稳定工作在百兆的频率下。该系统为多路、高速的数据采集系统,并能稳定工作,从而能满足电子测量仪器的要求。关键词:数据采集;FPGA;AD9446

    标签: FPGA 高速数据 采集

    上传时间: 2013-06-03

    上传用户:zzy7826

  • 高速PCB布线技术汇总.rar

    多篇高速PCB布线的文章,高速PCB板的电源布线设计,高频PCB设计中出现的干扰分析及对策 ,高速数字印制电路板电源地面层结构对ΔI噪声抑制的研究,高速PCB板的电源布线设计等等

    标签: PCB 布线技术

    上传时间: 2013-07-27

    上传用户:yyyyyyyyyy

  • 基于FPGA与DDR2-SDRAM的高速实时数据采集系统的设计与实现.rar

    数据采集处理技术是现代信号处理的基础,广泛应用于雷达、声纳、软件无线电、瞬态信号测试等领域。随着信息科学的飞速发展,人们面临的信号处理任务越来越繁重,对数据采集处理系统的要求也越来越高。近年来FPGA由于其设计灵活性、更强的适应性及可重构性,结合SDRAM的高速、大容量、价格优势,在设计高速实时数据采集系统时受到了广泛的关注。 本课题重点研究了基于FPGA与DDR2-SDRAM的高速实时数据采集系统的设计与实现技术,为需要大容量存储器的系统设计提供了新的思路。在深入研究了DDR2-SDRAM器件的基本构造与工作原理的基础上,结合成熟的商业化IP核,提出了基于FPGA与DDR2-SDRAM的高速实时数据采集系统的设计方案,并从总体设计构想到各逻辑细节实现都进行了详细描述。根据DDR2-SDRAM的特点,选择合适的内存调度方案,采用Verilog HDL语言设计实现了该高速实时数据采集系统,并对系统功能进行验证与分析,结果表明本设计完全能够满足系统的性能指标。

    标签: SDRAM FPGA DDR

    上传时间: 2013-06-23

    上传用户:wangrong

  • 基于FPGA控制的高速数据采集系统设计与实现.rar

    数据采集系统是信号与信息处理系统中不可缺少的重要组成部分,同时也是软件无线电系统中的核心模块,在现代雷达系统以及无线基站系统中的应用越来越广泛。为了能够满足目前对软件无线电接收机自适应性及灵活性的要求,并充分体现在高性能FPGA平台上设计SOC系统的思路,本文提出了由高速高精度A/D转换芯片、高性能FPGA、PCI总线接口、DB25并行接口组成的高速数据采集系统设计方案及实现方法。其中FPGA作为本系统的控制核心和传输桥梁,发挥了极其重要的作用。通过FPGA不仅完成了系统中全部数字电路部分的设计,并且使系统具有了较高的可适应性、可扩展性和可调试性。 在时序数字逻辑设计上,充分利用FPGA中丰富的时序资源,如锁相环PLL、触发器,缓冲器FIFO、计数器等,能够方便的完成对系统输入输出时钟的精确控制以及根据系统需要对各处时序延时进行修正。 在存储器设计上,采用FPGA片内存储器。可根据系统需要随时进行设置,并且能够方便的完成数据格式的合并、拆分以及数据传输率的调整。 在传输接口设计上,采用并行接口和PCI总线接口的两种数据传输模式。通过FPGA中的宏功能模块和IP资源实现了对这两种接口的逻辑控制,可使系统方便的在两种传输模式下进行切换。 在系统工作过程控制上,通过VB程序编写了应用于PC端的上层控制软件。并通过并行接口实现了PC和FPGA之间的交互,从而能够方便的在PC机上完成对系统工作过程的控制和工作模式的选择。 在系统调试方面,充分利用QuartuslI软件中自带的嵌入式逻辑分析仪SignalTaplI,实时准确的验证了在系统整个传输过程中数据的正确性和时序性,并极大的降低了用常规仪器观测FPGA中众多待测引脚的难度。 本文第四章针对FPGA中各功能模块的逻辑设计进行了详细分析,并对每个模块都给出了精确的仿真结果。同时,文中还在其它章节详细介绍了系统的硬件电路设计、并行接口设计、PCI接口设计、PC端控制软件设计以及用于调试过程中的SignalTapⅡ嵌入式逻辑分析仪的使用方法,并且也对系统的仿真结果和测试结果给出了分析及讨论。最后还附上了系统的PCB版图、FPGA逻辑设计图、实物图及注释详细的相关源程序清单。

    标签: FPGA 控制 高速数据

    上传时间: 2013-07-09

    上传用户:sdfsdfs

  • 用SPI总线实现DSP和MCU之间的高速通信.rar

    简述了SPI总线协议工作时序和配置要求,通过一个成功的实例详细介绍了使用SPI 总线实现DSP与MCU之间的高速通信方法,并参考实例给出了SPI接口的硬件连接、初始化、 以及传输测试程序的编写方法。 关键词:SPI接口;McBSP;总线;高速通信

    标签: SPI DSP MCU

    上传时间: 2013-04-24

    上传用户:jhksyghr