虫虫首页|资源下载|资源专辑|精品软件
登录|注册

频率效应

  • 宽带低相噪高分辨率频率合成器设计

    利用锁相环(PLL)和YTO相结合,设计出一种频率合成器。实现了3~7 GHz的频率覆盖和低于0.2 Hz的频率分辨率。全频段相噪均在-108 dBc/Hz@10 kHz以下,具有较高的实用价值。

    标签: 宽带 高分辨率 频率合成器

    上传时间: 2013-10-31

    上传用户:258彼岸

  • 高等模拟集成电路

    近年来,随着集成电路工艺技术的进步,电子系统的构成发生了两个重要的变化: 一个是数字信号处理和数字电路成为系统的核心,一个是整个电子系统可以集成在一个芯片上(称为片上系统)。这些变化改变了模拟电路在电子系统中的作用,并且影响着模拟集成电路的发展。 数字电路不仅具有远远超过模拟电路的集成规模,而且具有可编程、灵活、易于附加功能、设计周期短、对噪声和制造工艺误差的抗扰性强等优点,因而大多数复杂系统以数字信号处理和数字电路为核心已成为必然的趋势。虽然如此,模拟电路仍然是电子系统中非常重要的组成部分。这是因为我们接触到的外部世界的物理量主要都是模拟量,比如图像、声音、压力、温度、湿度、重量等,要将它们变换为数字信号,需要模拟信号处理和数据转换电路,如果这些电路性能不够高,将会影响整个系统的性能。其次,系统中的许多功能不可能或很难用数字电路完成,如微弱信号放大,很高频率和宽频带信号的实时处理等。因此,虽然模拟电路在系统中不再是核心,但作为固有的模拟世界与数字系统的接口,其地位和作用仍然十分重要。 片上系统要求将数字电路和模拟电路集成在一个芯片上,这希望模拟电路使用与数字电路相同的制造工艺。随着MOS器件的线宽不断减小,使MOS器件的性能不断提高,MOS数字电路成为数字集成电路的主流,并因此促进了MOS模拟集成电路的迅速发展。为了适应电子系统功能的不断扩展和性能的不断提高,对模拟电路在降低电源电压、提高工作频率、扩大线性工作范围和提高性能指标的精度和稳定度等方面提出更高要求,促进了新电路技术的发展。 作为研究生课程的教材,本书内容是在本科相关课程基础上的深化和扩展,同时涉及实际设计中需要考虑的一些问题,重点介绍具有高工作频率、低电源电压和高工作稳定性的新电路技术和在电子系统中占有重要地位的功能电路及其中的新技术。全书共7章,大致可分为三个部分。第一部分包括第1章和第7章。第1章为MOS模拟集成电路基础,比较全面地介绍MOS器件的工作原理和特性以及由MOS器件构成的基本单元电路,为学习本教材其他内容提供必要的知识。由于版图设计与工艺参数对模拟集成电路性能的影响很大,因此第7章简单介绍制造MOS模拟集成电路的CMOS工艺过程和版图设计技术,读者可以通过对该章所介绍的相关背景知识的了解,更深入地理解MOS器件和电路的特性,有助于更好地完成模拟集成电路的可实现性设计。第二部分为新电路技术,由第2章、第3章和第5章的部分组成,包括近年来逐步获得广泛应用的电流模电路、抽样数据电路和对数域电路,它们在提高工作频率、降低电源电压、扩大线性工作范围和提高性能指标的精度和稳定度方面具有明显的潜力,同时它们也引入了一些模拟电路的新概念。这些内容有助于读者开拓提高电路性能方面的思路。第2章介绍电流模电路的工作原理、特点和典型电路。与传统的以电压作为信号载体的电路不同,这是一种以电流作为信号载体的电路,虽然在电路中电压和电流总是共同存在并相互作用的,但由于信号载体不同,不仅电路性能不同而且电路结构也不同。第3章介绍抽样数据电路的特点和开关电容与开关电流电路的工作原理、分析方法与典型电路。抽样数据电路类似于数字电路,处理的是时间离散信号,又类似于模拟电路,处理的是幅度连续信号,它比模拟电路具有稳定准确的时间常数,解决了模拟电路实际应用中的一大障碍。对数域电路在第5章中结合其在滤波器中的应用介绍,这类电路除具有良好的电性能外,还提出了一种利用器件的非线性特性实现线性电路的新思路。第三部分介绍几个模拟电路的功能模块,它们是电子系统中的关键组成部分,并且与信号和信号处理联系密切,有助于在信号和电路间形成整体观念。这部分包括第4章至第6章。第4章介绍数据转换电路的技术指标和高精度与高速度转换电路的构成、工作原理、特点和典型电路。第5章介绍模拟集成滤波器的设计方法和主要类型,包括连续时间滤波器、对数域滤波器和抽样数据滤波器。第6章介绍通信系统中的收发器与射频前端电路,包括收信器、发信器的技术指标、结构和典型电路。因为载波通信系统传输的是模拟信号,射频前端电路的性能对整个通信系统有直接的影响,所以射频集成电路已成为重要的研究课题。 〖〗高等模拟集成电路〖〗〖〗前言〖〗〖〗本书是在为研究生开设的“高等模拟集成电路”课程讲义的基础上整理而成,由董在望主编,第1、4、7章由李冬梅编写,第6章由王志华编写,第5章由李永明和董在望编写,第2、3章由董在望编写,李国林参加了部分章节的校核工作。 本书可作为信息与通信工程和电子科学与技术学科相关课程的研究生教材或教学参考书,也可作为本科教学参考书或选修课教材和供相关专业的工程技术人员参考。 清华大学出版社多位编辑为本书的出版做了卓有成效的工作,深致谢意。 限于编者水平,难免有错误和疏漏之处,欢迎批评指正。 目录 1.1MOS器件基础及器件模型 1.1.1结构及工作原理 1.1.2衬底调制效应 1.1.3小信号模型 1.1.4亚阈区效应 1.1.5短沟效应 1.1.6SPICE模型 1.2基本放大电路 1.2.1共源(CS)放大电路 1.2.2共漏(CD)放大电路 1.2.3共栅(CG)放大电路 1.2.4共源共栅(CSCG)放大电路 1.2.5差分放大电路 1.3电流源电路 1.3.1二极管连接的MOS器件 1.3.2基本镜像电流源 1.3.3威尔逊电流源 1.3.4共源共栅电流源 1.3.5有源负载放大电路 1.4运算放大器 1.4.1运算放大器的主要参数 1.4.2单级运算放大器 1.4.3两级运算放大器 1.4.4共模反馈(CMFB) 1.4.5运算放大器的频率补偿 1.5模拟开关 1.5.1导通电阻 1.5.2电荷注入与时钟馈通 1.6带隙基准电压源 1.6.1工作原理 1.6.2与CMOS工艺兼容的带隙基准电压源 思考题 2电流模电路 2.1概述 2.1.1电流模电路的概念 2.1.2电流模电路的特点 2.2基本电流模电路 2.2.1电流镜电路 2.2.2电流放大器 2.2.3电流模积分器 2.3电流模功能电路 2.3.1跨导线性电路 2.3.2电流传输器 2.4从电压模电路变换到电流模电路 2.5电流模电路中的非理想效应 2.5.1MOSFET之间的失配 2.5.2寄生电容对频率特性的影响 思考题 3抽样数据电路 3.1开关电容电路和开关电流电路的基本分析方法 3.1.1开关电容电路的时域分析 3.1.2开关电流电路的时域分析 3.1.3抽样数据电路的频域分析 3.2开关电容电路 3.2.1开关电容单元电路 3.2.2开关电容电路的特点 3.2.3非理想因素的影响 3.3开关电流电路 3.3.1开关电流单元电路 3.3.2开关电流电路的特点 3.3.3非理想因素的影响 思考题 4A/D转换器与D/A转换器 4.1概述 4.1.1电子系统中的A/D与D/A转换 4.1.2A/D与D/A转换器的基本原理 4.1.3A/D与D/A转换器的性能指标 4.1.4A/D与D/A转换器的分类 4.1.5A/D与D/A转换器中常用的数码类型 4.2高速A/D转换器 4.2.1全并行结构A/D转换器 4.2.2两步结构A/D转换器 4.2.3插值与折叠结构A/D转换器 4.2.4流水线结构A/D转换器 4.2.5交织结构A/D转换器 4.3高精度A/D转换器 4.3.1逐次逼近型A/D转换器 4.3.2双斜率积分型A/D转换器 4.3.3过采样ΣΔA/D转换器 4.4D/A转换器 4.4.1电阻型D/A转换器 4.4.2电流型D/A转换器 4.4.3电容型D/A转换器 思考题 5集成滤波器 5.1引言 5.1.1滤波器的数学描述 5.1.2滤波器的频率特性 5.1.3滤波器设计的逼近方法 5.2连续时间滤波器 5.2.1连续时间滤波器的设计方法 5.2.2跨导电容(GmC)连续时间滤波器 5.2.3连续时间滤波器的片上自动调节电路 5.3对数域滤波器 5.3.1对数域电路概念及其特点 5.3.2对数域电路基本单元 5.3.3对数域滤波器 5.4抽样数据滤波器 5.4.1设计方法 5.4.2SZ域映射 5.4.3开关电容电路转换为开关电流电路的方法 思考题 6收发器与射频前端电路 6.1通信系统中的射频收发器 6.2集成收信器 6.2.1外差式接收与镜像信号 6.2.2复数信号处理 6.2.3收信器前端结构 6.3集成发信器 6.3.1上变换器 6.3.2发信器结构 6.4收发器的技术指标 6.4.1噪声性能 6.4.2灵敏度 6.4.3失真特性与线性度 6.4.4动态范围 6.5射频电路设计 6.5.1晶体管模型与参数 6.5.2噪声 6.5.3集成无源器件 6.5.4低噪声放大器 6.5.5混频器 6.5.6频率综合器 6.5.7功率放大器 思考题 7CMOS集成电路制造工艺及版图设计 7.1集成电路制造工艺简介 7.1.1单晶生长与衬底制备 7.1.2光刻 7.1.3氧化 7.1.4扩散及离子注入 7.1.5化学气相淀积(CVD) 7.1.6接触与互连 7.2CMOS工艺流程与集成电路中的元件 7.2.1硅栅CMOS工艺流程 7.2.2CMOS集成电路中的无源元件 7.2.3CMOS集成电路中的寄生效应 7.3版图设计 7.3.1硅栅CMOS集成电路的版图构成 7.3.2版图设计规则 7.3.3CMOS版图设计技术 思考题

    标签: 模拟集成电路

    上传时间: 2013-11-12

    上传用户:chengxin

  • 双极运算放大器的辐射效应和退火特性

     本文介绍了O P207 双极运算放大器的60CoC射线、不同能量电子和质子的辐照试验以及60CoC和电子辐射损伤在室温和100℃高温条件下的退火效应, 揭示了双极运算放大器电参数对不同射线的辐照响应规律; 研究了不同辐射源对双极运算放大器的不同辐射损伤机理; 并对质子辐照损伤程度与能量的依赖关系以及质子辐照损伤同60CoC和电子辐照损伤的差异进了探讨. 结果表明, 界面态的产生是60CoC和电子辐照损伤的主要原因, 而位移效应造成的体损伤在质子辐照效应中占有重要地位.  

    标签: 双极 运算放大器 辐射效应

    上传时间: 2013-11-12

    上传用户:gououo

  • 时钟应用中的直接数字频率合成器

    直接数字式频率合成器(DDS)—DDS同DSP(数字信号处理)一样,也是一项关键的数字化技术。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点。

    标签: 时钟 数字频率合成器

    上传时间: 2013-10-21

    上传用户:ccclll

  • 2SJ系列场效应管参数大全

    2SJ系列场效应管参数大全:

    标签: 2SJ 场效应管 参数大全

    上传时间: 2013-11-24

    上传用户:wlcaption

  • CMOS闩锁效应

    闩锁效应是指CMOS器件所固有的寄生双极晶体管被触发导通,在电源和地之间存在一个低阻通路,大电流,导致电路无法正常工作,甚至烧毁电路

    标签: CMOS 闩锁效应

    上传时间: 2013-10-19

    上传用户:缥缈

  • 场效应晶体管放大电路的动态分析

      场效应晶体管放大电路的动态分析   共源组态基本放大电路的动态分析   共漏组态基本放大电路的动态分析

    标签: 场效应晶体管 动态分析 放大电路

    上传时间: 2013-10-29

    上传用户:dianxin61

  • LDO线性稳压器动态频率补偿电路设计

    摘要:对LDO线性稳压器关键技术进行了分析,重点分析了LDO稳压器的稳定性问题,在此基础上提出了一种新型的动态频率补偿电路,利用MOS管的开关电阻、寄生电容等构成的电阻电容网络,通过采样负载电流而改变MOS开关管的工作点或工作状态,即改变开关电阻、寄生电容的值,从而实现动态的频率补偿。与传统方法相比,该电路大大提高了系统的瞬态响应性能。 关键词:LDo;稳定性;ESR;动态频率补偿

    标签: LDO 线性稳压器 动态 电路设计

    上传时间: 2013-11-14

    上传用户:gtf1207

  • 锁相环频率合成器-ad9850激励

    用ad9850激励的锁相环频率合成器山东省济南市M0P44 部队Q04::00R 司朝良摘要! 提出了一种ad9850和ad9850相结合的频率合成方案! 介绍了ad9850芯片ad9850的基本工作原理" 性能特点及引脚功能! 给出了以1!2345 作为参考信号源的锁相环频率合成器实例! 并对该频率合成器的硬件电路和软件编程进行了简要说明#关键词! !!" 锁相环频率合成器数据寄存器

    标签: 9850 ad 锁相环 激励

    上传时间: 2013-10-18

    上传用户:hehuaiyu

  • 模拟cmos集成电路设计(design of analog

    模拟集成电路的设计与其说是一门技术,还不如说是一门艺术。它比数字集成电路设计需要更严格的分析和更丰富的直觉。严谨坚实的理论无疑是严格分析能力的基石,而设计者的实践经验无疑是诞生丰富直觉的源泉。这也正足初学者对学习模拟集成电路设计感到困惑并难以驾驭的根本原因。.美国加州大学洛杉机分校(UCLA)Razavi教授凭借着他在美国多所著名大学执教多年的丰富教学经验和在世界知名顶级公司(AT&T,Bell Lab,HP)卓著的研究经历为我们提供了这本优秀的教材。本书自2000午出版以来得到了国内外读者的好评和青睐,被许多国际知名大学选为教科书。同时,由于原著者在世界知名顶级公司的丰富研究经历,使本书也非常适合作为CMOS模拟集成电路设计或相关领域的研究人员和工程技术人员的参考书。... 本书介绍模拟CMOS集成电路的分析与设计。从直观和严密的角度阐述了各种模拟电路的基本原理和概念,同时还阐述了在SOC中模拟电路设计遇到的新问题及电路技术的新发展。本书由浅入深,理论与实际结合,提供了大量现代工业中的设计实例。全书共18章。前10章介绍各种基本模块和运放及其频率响应和噪声。第11章至第13章介绍带隙基准、开关电容电路以及电路的非线性和失配的影响,第14、15章介绍振荡器和锁相环。第16章至18章介绍MOS器件的高阶效应及其模型、CMOS制造工艺和混合信号电路的版图与封装。 1 Introduction to Analog Design 2 Basic MOS Device Physics 3 Single-Stage Amplifiers 4 Differential Amplifiers 5 Passive and Active Current Mirrors 6 Frequency Response of Amplifiers 7 Noise 8 Feedback 9 Operational Amplifiers 10 Stability and Frequency Compensation 11 Bandgap References 12 Introduction to Switched-Capacitor Circuits 13 Nonlinearity and Mismatch 14 Oscillators 15 Phase-Locked Loops 16 Short-Channel Effects and Device Models 17 CMOS Processing Technology 18 Layout and Packaging

    标签: analog design cmos of

    上传时间: 2014-12-23

    上传用户:杜莹12345