虫虫首页|资源下载|资源专辑|精品软件
登录|注册

采集

  • 基于FPGA和PCI接口图像采集压缩卡

    随着数字图像处理的应用领域不断扩大,实时处理技术成为研究的热点。VLSI技术的迅猛发展为数字图像实时处理技术提供了硬件基础。其中FPGA(现场可编程门阵列)的特点使其在图像采集和处理方面的应用显得更加经济、灵活、方便。 本文设计了一种以FPGA为工作核心,并实现了PCI接口的图像采集压缩系统。整个系统采用了自顶向下的设计方案,先把系统分成了三大块,即图像采集、PCI接口和图像压缩,然后分别设计各个大模块中的子模块。 首先,利用FPGA对专用视频转换器SAA7111A进行控制,因为SAA7111A是采用IC总线模块,从而完成了对SAA7111A的控制,并通过设计图像采集模块、读/写数据模块、总线管理模块等,实现把标准的模拟视频信号转换成数字视频信号并采集的功能。 其次,在了解PCI规范的前提下,深入地分析了PCI时序和地址配置空间等,设计了简化逻辑的状态机,并用VHDL硬件描述语言设计了程序,完成了简化逻辑的PCI接口设计在FPGA芯片内部的实现,达到了一33MHz、32位数据宽度、支持猝发传输的PCI从设备模块的接口功能,与传统的使用PCI专用接口芯片来实现的PCI接口比较来看,更加节约了系统的逻辑资源,降低了成本,增加了设计的灵活性。 再次,设计了WINDOWS下对PCI接口的驱动程序。驱动程序可以选择不同的方法来完成,当然每个方法都有自己的特点,对几种主要设计驱动程序的方法作以比较之后,本文选择了使用DRIVER WORKS工具来完成。通过对配置空间的设计、系统端口和内存映射的设计、中断服务的设计等,用VC++语言编写了驱动程序。 最后,考虑到增加系统的实用性和完备性,还填加设计了图像的压缩部分。这部分需要完成的工作是在上述系统完成后,再额外地把采集来的视频数据通过另一路数据通道按照一定的格式压缩后存储到硬盘中。本系统中,这部分设计是利用Altera公司提供的IP核来完成压缩的,同时还用VHDL语言在FPGA上设计了IDE硬盘接口,使压缩后的数据存储到硬盘中。

    标签: FPGA PCI 接口 图像采集

    上传时间: 2013-06-01

    上传用户:程婴sky

  • 多路电压采集系统

    多路电压采集系统一、实验目的1.熟悉可编程芯片ADC0809,8253的工作过程,掌握它们的编程方法。2.加深对所学知识的理解并学会应用所学的知识,达到在应用中掌握知识的

    标签: 多路 电压采集

    上传时间: 2013-06-29

    上传用户:cursor

  • 基于FPGA的USB接口数据采集系统研究

    随着科学技术水平的不断提高,在科研和生产过程中为了更加真实的反映被测对象的性质,对测试系统的性能要求越来越高。传统的测试装置,由于传输速度低或安装不便等问题已不能满足科研和生产的实际需要。USB技术的出现很好的解决了上述问题。USB总线具有支持即插即用、易于扩展、传输速率高(USB2.0协议下为480Mbps)等优点,已逐渐得到广泛的应用。 本课题研究并设计了一套基于USB2.0的数据采集系统。论文首先详细介绍了USB总线协议,然后从系统的总体结构、硬件电路、软件程序以及系统性能检测等几个方面,详细阐述了系统的设计思想和实现方案。系统采用双12位A/D转换器,提供两条模拟信号通道,可以同时采集双路信号,最高的采样率为200KHz。USB接口芯片采用Cypress公司的CY7C68013。论文详细介绍了其在SlaveFIFO接口模式下的电路设计和程序设计。系统应用FPGA芯片作系统的核心控制,控制系统的数据采集和与USB接口芯片的数据交换,并产生其中的逻辑控制信号和时序信号。同时应用FPGA芯片作系统的核心控制可提高了系统稳定性、减小设备的体积。系统的软件设计,主要包括FPGA芯片中的逻辑、时序控制程序、8051固件程序、客户应用程序及其驱动程序。客户端选择了微软的Visual Studio6.0 C++作开发平台,虽然增加了复杂程度,但是软件执行效率及重用性均得到提高。 最后,应用基于USB2.0的数据采集系统测试标准信号及电木的导热系数,以验证测试系统的可靠信与准确性。

    标签: FPGA USB 接口 数据采集

    上传时间: 2013-04-24

    上传用户:凤临西北

  • 高精度地震勘探数据采集系统

    本文分析了当代高精度地震勘探数据采集系统的发展现状,研究了数据采集的A/D方法及理论、现场可编程门阵列(Field Programmable GateArray,FPGA)技术的发展及原理,串口通信的原理及实现。在此基础上,探讨了采用FPGA控制24位△∑模数转换器来实现高精度地震勘探数据采集系统的实现思路,对探测传感器或检波器后端数据采集系统的信号A/D转换、FPGA与外部接口设计、串口数据通信做了详细的研究,尤其是在用FPGA来完成与外部ADC的接口控制上做了深入的开发和设计,整个接口控制模块采用VHDL语言编写,并同时将ROM、FIFO等数字逻辑模块一起集成到一片FPGA芯片当中,并在Quartus Ⅱ6.0的开发平台上通过了软件仿真,时序仿真结果达到了系统要求。

    标签: 高精度 地震勘探 数据采集系统

    上传时间: 2013-05-21

    上传用户:yuele0123

  • AD574在数据采集中的应用

    论述了AD574逐次逼近型12位模数转换器的原理、应用以及与单片机所构成的数据采集系统,分析了系统的硬件、软件结构和具体操作,给出了AD574与AT89C51单片机的接口线路图.

    标签: 574 AD 数据采集 中的应用

    上传时间: 2013-05-23

    上传用户:ca05991270

  • 基于FPGA的嵌入式图像采集卡的研究

    图像采集和处理技术在机器视觉和图像分析等诸多领域应用十分广泛,大部分情况下,采集卡只需将前端相机捕获的图像信息正确地传回计算机即可。但是在要求较高的应用场合需要采集卡能准确控制外部光源和相机,完成图像采集,预处理,数据传输。只有这样,用户才可以根据不同的兴趣和需求对特定的某些图像进行采集、传输以及处理,以达到某种分析目的。 本文根据国家985二期项目“三维粒子图像测速系统”的图像采集与处理需要,设计开发了一款以FPGA为核心控制芯片的嵌入式图像采集卡。采集卡以FPGA为逻辑和算法实现的核心器件,不仅实现了传统意义上的图像采集,而且实现了CCD相机控制和激光器同步曝光功能,打破了以往单纯靠增加硬件设备实现同步控制的方法,简化了系统硬件结构并节约系统成本。此外,在系统中嵌入了图像增强算法和采用PCI接口与计算机连接满足了高速采集的要求。同时,采用市场上广泛应用的Camera Link作为采集卡的图像输入接口,提高了系统的通用性、传输速率和抗干扰能力,简化图像获取设备和模拟摄像头之间需要视频解码等连接。具有嵌入式处理功能,光源同步和相机控制的采集卡将使机器视觉系统,图像测速等诸多领域的图像采集应用变得更为便捷。 论文首先对图像采集卡系统的组成、整体方案和可行性进行了论证。然后给出了图像采集卡的硬件设计。在此部分结合整体设计方案,讨论芯片的选型问题。根据所选芯片的本身特点,分模块地对图像采集卡的硬件设计原理进行了详细的阐述。接下来是图像采集卡的软件设计部分。用VHDL和原理图结合的方法对FPGA进行编程,实现了图像采集系统的各个功能模块。根据图像采集系统的要求用DriverWorks软件设计了图像采集卡的WDM底层驱动程序和上层应用程序。最后是用FPGA实现了带修改参数的硬件嵌入式图像处理算法——图像增强。论文中使用QUARTUS软件嵌入的逻辑分析仪SignalTap对FPGA设计的模块进行了硬件调试,给出了调试的时序图和调试结果,经测试分析该采集卡满足“三维粒子图像测速系统”的要求,达到了预期目标。

    标签: FPGA 嵌入式 图像采集

    上传时间: 2013-04-24

    上传用户:cazjing

  • 基于FPGA的数据采集与处理技术的研究

    目前,数字信号处理广泛应用于通信、雷达、声纳、语音与图像处理等领域,信号处理算法理论己趋于成熟,但其具体硬件实现方法却值得探讨。FPGA是近年来广泛应用的超大规模、超高速的可编程逻辑器件,由于其具有高集成度、高速、可编程等优点,大大推动了数字系统设计的单片化、自动化,缩短了单片数字系统的设计周期、提高了设计的灵活性和可靠性,在超高速信号处理和实时测控方面有非常广泛的应用。本文对FPGA的数据采集与处理技术进行研究,基于FPGA在数据采样控制和信号处理方面的高性能和单片系统发展的新热点,把FPGA作为整个数据采集与处理系统的控制核心。主要研究内容如下: FPGA的单片系统研究。针对数据采集与处理,对FPGA进行选型,设计了基于FPGA的单片系统的结构。把整个控制系统分为三个部分:多通道采样控制模块,数据处理模块,存储控制模块。 多通道采样控制模块的设计。利用4片AD7506和一片AD7862对64路模拟量进行周期采样,分别设计了通道选择控制模块和A/D转换控制模块,并进行了仿真,完成了基于FPGA的多通道采样控制。 数据处理模块的设计。FFT算法在数字信号处理中占有重要的地位,因此本文研究了FFT的硬件实现结构,提出了用FPGA实现FFT的一种设计思想,给出了总体实现框图。分别设计了旋转因子复数乘法器,碟形运算单元,存储器,控制器,并分别进行了仿真。重点设计实现了FFT算法中的蝶形处理单元,采用了一种高效乘法器算法设计实现了蝶形处理单元中的旋转因子乘法器,从而提高了蝶形处理器的运算速度,降低了运算复杂度。理论分析和仿真结果表明,状态机控制器成功地对各个模块进行了有序、协调的控制。 存储控制模块的设计。利用闪存芯片K9K1G08UOA对采集处理后的数据进行存储,设计了FPGA与闪存的硬件连接,设计了存储控制模块。 本文对FFT算法的硬件实现进行了研究,结合单片系统的特点,把整个系统分为多通道采样控制模块,数据处理模块,存储控制模块进行设计和仿真。设计采用VHDL编写程序的源代码。仿真测试结果表明,此FPGA单片系统可完成对实时信号的高速采集与处理。

    标签: FPGA 数据采集 处理技术

    上传时间: 2013-07-06

    上传用户:eclipse

  • 四路同步数据采集和处理系统的设计

    数字信号处理是信息科学中近几十年来发展最为迅速的学科之一。常用的实现高速数字信号处理的器件有DSP和FPGA。FPGA具有集成度高、逻辑实现能力强、速度快、设计灵活性好等众多优点,尤其在并行信号处理能力方面比DSP更具优势。在信号处理领域,经常需要对多路信号进行采集和实时处理,为解决这一问题,本文设计了基于FPGA的数据采集和处理系统。 本文首先介绍数字信号处理系统的组成和数字信号处理的优点,然后通过FFT算法的比较选择和硬件实现方案的比较选择,进行总体方案的设计。在硬件方面,特别讨论了信号调理模块、模数转换模块、FPGA芯片配置等功能模块的设计方案和硬件电路实现方法。信号处理单元的设计以Xilinx ISE为软件平台,采用VHDL和IP核的方法,设计了时钟产生模块、数据滑动模块、FFT运算模块、求模运算模块、信号控制模块,完成信号处理单元的设计,并采用ModelSim仿真工具进行相关的时序仿真。最后利用MATLAB对设计进行验证,达到技术指标要求。

    标签: 同步数据采集 处理系统

    上传时间: 2013-07-07

    上传用户:小火车啦啦啦

  • 动态光谱数据采集与预处理

    人体血液成份的无创检测是生物医学领域尚未攻克的前沿课题之一,动态光谱法在理论上克服了其它检测方法难以逾越的障碍——个体差异和测量条件对检测结果的影响。实现动态光谱检测,其关键在于采集多波长的光电容积脉搏波信号,并对其进行处理。针对动态光谱检测中信号微弱、信噪比低、处理数据量大的特点,本文设计了基于FPGA和面阵CCD摄像头的动态光谱数据采集与预处理系统,提高检测精度,采集出满足动态光谱信号提取要求的光电脉搏波;并对动态光谱频域提取法的核心算法FFT的FPGA实现进行研究。 课题提出用高灵敏度的面阵CCD摄像头替代常规光栅光谱仪中的光电接收器,实现对多波长的光电容积脉搏波的检测。结合面阵CCD的二维图像特点,采用信号累加法去除噪声,提高信号的信噪比。 创新性的提出一种不同于以往的信号累加方法——将处于同一行的视频信号在采样过程中直接累加,然后再进行传输和存储。不同于帧累加和异行累加,这种同行累加方式不但大大的提高了信号的信噪比,同时减小了数据的传输速度和传输量,降低了对存储器容量的要求,改善了动态光谱信号检测系统的性能。 针对面阵CCD摄像头输出的复合视频信号的特点,设计视频信号解调电路,得到高速、高精度的数字视频信号和准确的视频同步信号,用于后续的视频信号采集与处理。 根据动态光谱信号检测和视频信号采集的要求,选择可编程逻辑器件FPGA作为硬件平台,设计并实现了基于FPGA和面阵CCD摄像头的光电脉搏波采集与预处理系统。该系统实现了视频信号的精确定位,通过光谱信号的高速同行累加,实现了光电脉搏波信号的高精度检测。系统采用基于FPGA的Nios II嵌入式处理器系统,通过对其应用程序的开发,可靠的实现了数据的采集、传输和存储,提高了系统的集成度,降低了开发成本。 为实现动态光谱信号的频域提取,研究了基于FPGA的FFT实现方案,对各关键模块进行设计,为动态光谱信号的进一步处理打下良好的基础。 最后,通过实验证明了系统数据采集的正确性和信号预处理的可行性,得到了符合动态光谱信号提取要求的脉搏波信号。

    标签: 动态 光谱数据采集 预处理

    上传时间: 2013-04-24

    上传用户:cknck

  • 基于FPGA控制的高速数据采集系统

    数据采集系统是信号与信息处理系统中不可缺少的重要组成部分,同时也是软件无线电系统中的核心模块,在现代雷达系统以及无线基站系统中的应用越来越广泛。为了能够满足目前对软件无线电接收机自适应性及灵活性的要求,并充分体现在高性能FPGA平台上设计SOC系统的思路,本文提出了由高速高精度A/D转换芯片、高性能FPGA、PCI总线接口、DB25并行接口组成的高速数据采集系统设计方案及实现方法。其中FPGA作为本系统的控制核心和传输桥梁,发挥了极其重要的作用。通过FPGA不仅完成了系统中全部数字电路部分的设计,并且使系统具有了较高的可适应性、可扩展性和可调试性。 在时序数字逻辑设计上,充分利用FPGA中丰富的时序资源,如锁相环PLL、触发器,缓冲器FIFO、计数器等,能够方便的完成对系统输入输出时钟的精确控制以及根据系统需要对各处时序延时进行修正。 在存储器设计上,采用FPGA片内存储器。可根据系统需要随时进行设置,并且能够方便的完成数据格式的合并、拆分以及数据传输率的调整。 在传输接口设计上,采用并行接口和PCI总线接口的两种数据传输模式。通过FPGA中的宏功能模块和IP资源实现了对这两种接口的逻辑控制,可使系统方便的在两种传输模式下进行切换。 在系统工作过程控制上,通过VB程序编写了应用于PC端的上层控制软件。并通过并行接口实现了PC和FPGA之间的交互,从而能够方便的在PC机上完成对系统工作过程的控制和工作模式的选择。 在系统调试方面,充分利用QuartuslI软件中自带的嵌入式逻辑分析仪SignalTaplI,实时准确的验证了在系统整个传输过程中数据的正确性和时序性,并极大的降低了用常规仪器观测FPGA中众多待测引脚的难度。 本文第四章针对FPGA中各功能模块的逻辑设计进行了详细分析,并对每个模块都给出了精确的仿真结果。同时,文中还在其它章节详细介绍了系统的硬件电路设计、并行接口设计、PCI接口设计、PC端控制软件设计以及用于调试过程中的SignalTapⅡ嵌入式逻辑分析仪的使用方法,并且也对系统的仿真结果和测试结果给出了分析及讨论。最后还附上了系统的PCB版图、FPGA逻辑设计图、实物图及注释详细的相关源程序清单。

    标签: FPGA 控制 高速数据 采集系统

    上传时间: 2013-06-08

    上传用户:lh25584