虫虫首页|资源下载|资源专辑|精品软件
登录|注册

输出滤波器

输出滤波器,又名“出线滤波器”,是“变频器专用型输出滤波器”的简称。
  • 基于FPGA的高速FIR数字滤波器设计

    本论文设计了一种基于FPGA的高速FIR数字滤波器,滤波器实现低通滤波,截止频率为1MHz,通带波纹小于1 dB,阻带最大衰减为-40 dB,输入输出数据为8位二进制,采样频率为10MHz。 论文首先简要介绍了数字滤波器的基本原理和线性FIR数字滤波器的性质、结构,根据滤波器的性能要求选择窗函数、确定系数,在算法上为了满足数字滤波器的要求,对系数放大512倍并取整,并用Matlab对数字滤波器原理进行了证明。同时简述了EDA技术和FPGA设计流程。 其次,论文说明了FIR数字滤波器模块的划分,并用Verilog语言在Modelsim环境下进行了功能测试。对于数字滤波器系数中的-1,-2,4这些简单的系数乘法直接进行移位和取反,可以极大的节省资源和优化设计。而对普通系数乘法采用4-BANT(4bits-at-a-time)的并行算法,用加法累加快速实现了乘积的运算;另外,在本设计进行部分积累加时,采用舍取冗余位,主要是根据设计时已对系数进行了放大,而输出时又要将结果相应的缩小,所以在累加时,提前对部分积缩小,从而减少了运算量,从时间和资源上都得到了优化。 论文的最后分别用Modelsim和Quartus II进行了FIR数字滤波器的前仿真和后仿真,将仿真的结果和Matlab中原理验证时得到的理想值进行了比较,并对所产生的误差进行了分析。仿真结果表明:本16阶FIR数字滤波器设计能够实现截止频率为1MHz的低通滤波,并且工作频率可达150MHz以上。

    标签: FPGA FIR 数字 滤波器设计

    上传时间: 2013-07-14

    上传用户:lanwei

  • 自适应滤波器算法设计及其FPGA实现

    自适应滤波器是智能天线技术中核心部分-自适应波束成形器的关键技术,算法的高效稳定性及硬件时钟速率的快慢是判断波束成形器性能优劣的主要标准。 首先选取工程领域最常用的自适应横向LMS滤波算法作为研究对象,提出了利用最小均方误差意义下自适应滤波器的输出信号与主通道噪声信号的等效关系,得到滤波器最佳自适应参数的方法。并分析了在平稳和非平稳环境噪声下,滤波器的收敛速度、权系数稳定性、跟踪输入信号的能力和信噪比的改善等特性。 在分析梯度自适应格型算法的基础上,提出利用最佳反射系数的收敛性和稳定性,得到了梯度自适应格型滤波器的定步长改进方法;并以改进的梯度自适应格型和线性组合器组成梯度自适应格型联合处理算法,在同样环境噪声下,相比自适应横向LMS算法,其各项性能指标都得到了极大地改善,而且有利于节省硬件资源。 设计了自适应横向LMS滤波器和梯度自适应格型联合处理滤波器的电路模型,并用驰豫超前技术对两类滤波器进行了流水线优化。利用Altera公司的CycloneⅡ系列EP2C5T144C6芯片和多种EDA工具,完成了滤波器的FPGA硬件设计与仿真实现。并以FPGA实现的3节梯度自适应格型联合处理器为核心,设计了一种TD-SCDMA系统的自适应波束成形器,分析表明可以很好地利用系统提供的参考信号对下行波束进行自适应成形。

    标签: FPGA 自适应滤波器 算法设计

    上传时间: 2013-07-15

    上传用户:xyipie

  • 基于FPGA的有源滤波器系统硬件设计

    由于各种非线性电力电子装置的和功率开关器件的广泛应用产生了谐波。随着对电能质量要求的不断提高,各种治理谐波的电力电子装置就产生了。谐波治理的方法主要有无源滤波技术和有源电力滤波器技术。传统的方法采用LC 无源滤波器,与无源滤波器相比有源电力滤波器具有很大的优越性,因此越来越多的应用到治理谐波污染中。随着以DSP 和FPGA 的高速发展,以全数字化控制技术实现的有源电力滤波器必将更多的应用到谐波装置中去。本文深入分析了谐波治理的研究背景意义和有源滤波器的研究现状和发展趋势。介绍了有源滤波器的基本的工作原理;分类;谐波的检测方法和控制策略,在各个方法的比较上选用基于瞬时无功功率理论的谐波检测法对谐波电流进行了检测。并提出了一种基于 DSP 及FPGA 控制的有源电力滤波器的设计方案,重点研究了三相并联型有源滤波器的控制系统及硬件设计。本文还对系统的功率器件进行了分析并选用IGBT 作为其开关器件。设计了IGBT 驱动及保护电路,利用理论分析和仿真结果设定了系统直流侧电容和输出电感的参数。对整个系统进行了Simulink 仿真实验,选用DSP 和和FPGA 作为核心处理芯片,DSP 用来采集数据并检测谐波,FPGA 用来实现PWM 脉冲的输出。设计并调试出非线性负载,传感器采集,电流电压调理电路,主电路,过零检测电路,IGBT 的驱动及吸收缓冲电路。并在此基础上搭建出了试验平台。给出了DSP 及FPGA 的软件设计思想和流程。

    标签: FPGA 有源滤波器 硬件设计

    上传时间: 2013-04-24

    上传用户:youth25

  • IIR数字滤波器设计及DSP实现

    · 摘要:  数字信号处理(DSP)具有并行的硬件乘法器、流水线结构以及快速的片内存储器等资源,其技术广泛地应用于数字信号处理的各个领域.介绍了IIR数字滤波器的原理,利用MATLAB软件生成滤波器的输入数据和系数,进行相应的数据压缩处理,并生成仿真波形,最后给出了用DSP语言实现IIR数字滤波器的仿真结果,同时对仿真结果进行了分析、比较,确保了输出波形的精确度. &n

    标签: IIR DSP 数字 滤波器设计

    上传时间: 2013-04-24

    上传用户:ykykpb

  • 一种具有自动纠错功能的FIR滤波器研究

    提出了一种有效实现自动纠错功能FIR数字滤波器技术,该技术采用2种不同架构的标准滤波器通过并行操作来完成。任一滤波器软错误的发生就会引起两个滤波器输出不匹配,达到检测错误的目的,增强了传统滤波器对差错检测和差错纠正的支持。最后对该滤波器地性能进行评估,该滤波器性能良好,纠错率接近100%,可广泛运用在各种信号处理中。

    标签: FIR 自动纠错 滤波器

    上传时间: 2013-10-27

    上传用户:dysyase

  • 8阶开关电容滤波器MAX29X系列的应用设计

    MAX29X是美国MAXIM公司生瓣的8阶开关电容低通滤波器,由于价格便宜、使用方便、设计简单,在通讯、信号自理等领域得到了广泛的应用。本文就其工作原理、电气参数、设计注意事项等问题作了讨论,具有一定的实用参考价值。关键词:开关电容、滤波器、设计 1 引言     开关电容滤波器在近些年得到了迅速的发展,世界上一些知名的半导体厂家相继推出了自己的开头电容滤波器集成电路,使形状电容滤波器的发展上了一个新台阶。     MAXIM公司在模拟器件生产领域颇具影响,它生产MAX291/292/293/294/295/296/297系列8阶低通开关电容滤波器由于使用方便(基本上不需外接元件)、设计简单(频率响应函数是固定的,只需确定其拐角频率即截止频率)、尺寸小(有8-pin    DIP封装)等优点,在ADC的反混叠滤波、噪声分析、电源噪声抑制等领域得到了广泛的应用。     MAX219/295为巴特活思(型滤波器,在通频带内,它的增益最稳定,波动小,主要用于仪表测量等要求整个通频带内增益恒定的场合。MAX292/296为贝塞尔(Bessel)滤波器,在通频带内它的群时延时恒定的,相位对频率呈线性关系,因此脉冲信号通过MAX292/296之后尖峰幅度小,稳定速度快。由于脉冲信号通过贝塞尔滤波器之后所有频率分量的延迟时间是相同的,故可保证波形基本不变。关于巴特活和贝塞尔滤波器的特性可能图1来说明。图1的踪迹A为加到滤波器输入端的3kHz的脉冲,这里我们把滤波器的截止频率设为10kHZ。踪迹B通过MAX292/296后的波形。从图中可以看出,由于MAX292/296在通带内具有线性相位特性,输出波形基本上保持了方波形状,只是边沿处变圆了一些。方波通过MAX291/295之后,由于不同频率的信号产生的时延不同,输出波形中就出现了尖峰(overshoot)和铃流(ringing)。     MAX293/294/297为8阶圆型(Elliptic)滤波器,它的滚降速度快,从通频带到阻带的过渡带可以作得很窄。在椭圆型滤波器中,第一个传输零点后输出将随频率的变高而增大,直到第二个零点处。这样几番重复就使阻事宾频响呈现波浪形,如图2所示。阻带从fS起算起,高于频率fS处的增益不会超过fS处的增益。在椭圆型滤波中,通频带内的增益存在一定范围的波动。椭圆型滤波器的一个重要参数就是过渡比。过渡比定义为阻带频率fS与拐角频率(有时也等同为截止频率)由时钟频率确定。时钟既可以是外接的时钟,也可以是自己的内部时钟。使用内部时钟时只需外接一个定时用的电容既可。     在MAX29X系列滤波器集成电路中,除了滤波器电路外还有一个独立的运算放大器(其反相输入端已在内部接地)。用这个运算放大器可以组成配合MAX29X系列滤波器使用后的滤波、反混滤波等连续时间低通滤波器。     下面归纳一下它们的特点:     ●全部为8阶低通滤波器。MAX291/MAX295为巴特沃思滤波器;MAX292/296为贝塞尔滤波器;MAX293/294/297为椭圆滤波器。     ●通过调整时钟,截止频率的调整范围为:0.1Hz~25kHz(MAX291/292/293*294);0.1Hz~kHz(MAX295/296/297)。     ●既可用外部时钟也可用内部时钟作为截止频率的控制时钟。     ●时钟频率和截止频率的比率:10∶1(MAX291/292/293/294);50∶1(MAX295/296/297)。     ●既可用单+5V电源供电也可用±5V双电源供电。     ●有一个独立的运算放大器可用于其它应用目的。     ●8-pin DIP、8-pin SO和宽SO-16多种封装。2 管脚排列和主要电气参数     MAX29X系列开头电容滤波器的管脚排列如图3所示。     管脚功能定义如下:     CLK:时钟输入。     OP OUT:独立运放的输出端。     OP INT:独立运放的同相输入端。     OUT:滤波器输出。     IN:滤波器输入。     V-:负电源 。双电源供电时搛-2.375~-5.5V之间的电压,单电源供电时V--=-V。     V+:正电源。双电源供电时V+=+2.35~+5.5V,单电源供电时V+=+4.75~+11.0V。     GND:地线。单电源工作时GND端必须用电源电压的一半作偏置电压。     NC:空脚,无连线。     MAX29X的极限电气参数如下:     电源(V+~V-):12V     输入电压(任意脚):V--0.3V≤VIN≤V++0.3V     连续工作时的功耗:8脚塑封DIP:727mW;8脚SO:471mW;16脚宽SO:762mW;8脚瓷封DIP:640mW。     工作温度范围:MAX29-C-:0℃~+70℃;MAX29-E-:-40℃~+85℃;MAX29-MJA:-55℃~+125℃;保存温度范围:-65℃~+160℃;焊接温度(10秒):+300℃;     大多数的形状电容滤波器都采用四节级连结构,每一节包含两个滤波器极点。这种方法的特点就是易于设计。但采用这种方法设计出来的滤波器的特性对所用元件的元件值偏差很敏感。基于以上考虑,MAX29X系列用带有相加和比例功能的开关电容持了梯形无源滤波器,这种方法保持了梯形无源滤波器的优点,在这种结构中每个元件的影响作用是对于整个频率响应曲线的,某元件值的误差将会分散到所有的极点,因此不值像四节级连结构那样对某一个极点特别明显的影响。3 MAX29X的频率特性     MAX29X的频率特性如图4所示。图中的fs都假定为1kHz。4 设计考虑     下面对MAX29X系列形状电容滤波器的使用做些讨论。4.1 时钟信号     MAX29X系列开头电容滤波器推荐使用的时钟信号最高频率为2.5MHz。根据对应的时钟频率和拐角频率的比值,MAX291/MAX292/MAX293/MAX294的拐角频率最高为25kHz.MAX295/MAX296/MAX297的拐角频率最高为50kHz 。     MAX29X系列开关电容滤波器的时钟信号既可幅外部时钟直接驱动也可由内部振荡器产生。使用外部时钟时,无论是采用单电源供电还是双电源供电,CLK可直接和采用+5V供电的CMOS时钟信号发生器的输出相连。通过调整外部时钟的频率,可完成滤波器拐角的实时调整。     当使用内部时钟时,振荡器的频率由接在CLK端上的电容VCOSC决定:     fCOSC (kHz)=105/3COSC (pF) 4.2 供电     MAX29X系列开关电容滤波器既可用单电源工作也可用双电源工作。双电源供电时的电源电压范围为±2.375~±5.5V。在实际电路中一般要在正负电源和GND之间接一旁路电容。     当采用单电源供电时,V-端接地,而GND端要通过电阻分压获得一个电压参考,该电压参考的电压值为1/2的电源电压,参见图5。4.3 输入信号幅度范围限制     MAX29X允许的输入信号的最大范围为V--0.3V~V++0.3V。一般情况下在+5V单电源供电时输入信号范围取1V~4V,±5V双电源供电时,输入信号幅度范围取±4V。如果输入信号超过此范围,总谐波失真THD和噪声就大大增加;同样如果输入信号幅度过小(VP-P<1V),也会造成THD和噪声的增加。4.4 独立运算放大器的用法     MAX29X中都设计有一个独立的运算放大器,这个放大器和滤波器的实现无直接关系,用这个放大器可组成一个一阶和二阶滤波器,用于实现MAX29X之前的反混叠滤波功能鄞MAX29X之后的时钟噪声抑制功能。这个运算放大器的反相端已在内部和GND相连。     图6是用该独立运放组成的2阶低通滤波器的电路,它的拐角频率为10kHz,输入阻抗为22Ω,可满足MAX29X形状电容滤波器的最小负载要求(MAX29X的输出负载要求不小于20kΩ)可以通过改变R1、R2、R3、C1、C2的元件值改变拐角频率。具体的元件值和拐角频率的对应关系参见表1。

    标签: 29X MAX 29 8阶

    上传时间: 2013-10-18

    上传用户:macarco

  • 利用TPM2定时器产生一通道语音信号输出,语音数据为PCM格

    利用TPM2定时器产生一通道语音信号输出,语音数据为PCM格式:PCM的概念脉冲编码调制(Pulse Code Modulation,PCM)是概念上最简单、理论上最完善的编码系统,是最早研制成功、使用最为广泛的编码系统,但也是数据量最大的编码系统。PCM的编码原理比较直观和简单,它的原理框图如图1-1所示。在这个编码框图中,它的输入是模拟声音信号,它的输出是PCM样本。图中的“防失真滤波器”是一个低通滤波器,用来滤除声音频带以外的信号;“波形编码器”可暂时理解为“采样器”,“量化器”可理解为“量化阶大小(step-size)”生成器或者称为“量化间隔”生成器。

    标签: TPM2 PCM 定时器 语音信号

    上传时间: 2013-11-21

    上传用户:DXM35

  • 利用最佳一致逼近设计数字滤波器的程序

    利用最佳一致逼近设计数字滤波器的程序,根据提示输入参数,程序输出单位取样响应h[n]

    标签: 数字滤波器 程序

    上传时间: 2013-12-15

    上传用户:zukfu

  • 数字带通滤波器的设计过程。共3个源程序。其中

    数字带通滤波器的设计过程。共3个源程序。其中,dos.cpp为带通FIR的源程序,其中的滤波器阶数n,滤波器的低频fln,滤波器的高频fhn,窗函数w,可以按照自己的要求改变。这里采用的是汉宁窗。主函数main.cpp实现输入与fir的h(n)的卷积,输出结果Y(n),并将其存储在y.txt中。最后,graphics.cpp从y.txt读取数据,再由语句画出波形,可以清楚地看出计算结果是否正确,并进行比较。

    标签: 数字 带通滤波器 过程 源程序

    上传时间: 2015-03-24

    上传用户:123456wh

  • 初学习滤波器的有关知识

    初学习滤波器的有关知识,给出一个简单的卡尔曼滤波的matlab程序。vb语言实现的卡尔曼滤波源程序 (没有测试,输出部分要配合相应的过程)

    标签: 滤波器

    上传时间: 2014-01-23

    上传用户:onewq