虫虫首页|资源下载|资源专辑|精品软件
登录|注册

联网计数器

  • EDA技术课程设计:可控计数器的设计

    EDA技术课程设计:可控计数器的设计 设计要求:1.设计一个五进制计数器,由两个控制键sel控制不同的计数方式;2.当sel=00时,按0、1、2、3、4、0、1、2、3、4......顺序计数;3.当sel=01时,按0、2、4、6、8、0、2、4、6、8......顺序计数4.当sel=10时,按1、3、5、7、9、1、3、5、7、9......顺序计数5.当sel=11时,按5、4、3、2、1、5、4、3、2、1......顺序计数6.由数码管分别译码显示控制信号和计数状态,分别用3位数码管动态显示;7.给出VHDL设计的源程序。

    标签: EDA 计数器

    上传时间: 2013-12-16

    上传用户:YYRR

  • 基域C8051F020芯片的多功能计数器设计

    本系统针对设计制作简易多功能计数器能接收函数信号发生器产生的信号,实现周期测量、频率测量和时间间隔测量的功能的要求。通过分频和整形,利用C8051F020 [1] 的可编程计数器阵列(PCA)的边沿捕捉模式对信号的上升沿捕捉并计时,从而达到对频率、周期和时间间隔测量的目的,并能使测量的范围和测量精度达到预期的要求,还能实现显示温度、时间和记忆10 个测量过的历史数据、显示峰值等扩展需求。

    标签: C8051F020 芯片 多功能 计数器

    上传时间: 2013-10-21

    上传用户:13788529953

  • 可编程计数器陈列PCA原理及应用设计

    可编程计数器陈列PCA原理及应用设计P89C51Rx2的可编程计数器陈列是由5个相同的、以计数器为主的模块组成。每个模块除为主的计数器外,还辅之以比较器/沿捕捉器。

    标签: PCA 可编程计数器 应用设计

    上传时间: 2013-10-12

    上传用户:youke111

  • 6位数显频率计数器工作原理及实验

      利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。

    标签: 数显 工作原理 实验 频率计数器

    上传时间: 2013-10-17

    上传用户:XLHrest

  • 定时器/计数器基础

    15-1.实现定时的方法15-2.定时器/计数器的结构和工作原理 15-3.定时器/计数器的控制15-4.定时器/计数器的工作方式 15-5.定时器/计数器应用 软件定时软件延时不占用硬件资源,但占用了CPU时间,降低了CPU的利用率。例如延时程序。采用时基电路定时例如采用555电路,外接必要的元器件(电阻和电容),即可构成硬件定时电路。但在硬件连接好以后,定时值与定时范围不能由软件进行控制和修改,即不可编程,且定时时间容易漂移。可编程定时器定时最方便的办法是利用单片机内部的定时器/计数器。结合了软件定时精确和硬件定时电路独立的特点。定时器/计数器的结构  定时器/计数器的实质是加1计数器(16位),由高8位和低8位两个寄存器组成。TMOD是定时器/计数器的工作方式寄存器,确定工作方式和功能;TCON是控制寄存器,控制T0、T1的启动和停止及设置溢出标志。

    标签: 定时器 计数器

    上传时间: 2014-12-27

    上传用户:rnsfing

  • 8253计数器定时器结构与编程

    可编程计数器/定时器的特点计算机及电子系统中需要定时信号,如系统的日历时钟,动态存储器的刷新,应用系统的定时中断、定时查询与检测等。可编程定时器芯片可以产生精确的时间间隔,形成各种脉冲序列,灵活性强。依所需时间间隔,设置计数器的时间常数,在一外部脉冲驱动下进行减1计数,计数值为0时,产生输出信号,供系统使用。

    标签: 8253 计数器 定时器 编程

    上传时间: 2013-11-17

    上传用户:彭玖华

  • 物联网智能交通拥堵判别算法的研究与实现

        针对城市道路交叉口的常发性交通拥堵现象,依据RFID检测系统的特点,提出了一种基于物联网前端信息采集技术的交通流检测方法。并且对城市道路交叉口采集到的交通流量相对增量、车辆的时间占有率相对增量以及地点平均车速等信息进行了对比性分析和统计推导,从理论上论证了交通拥挤产生时的交通流特点,然后以此为基础给出了交通拥挤事件出现时的判别准则,构造出相应的拥挤检测指标及判别算法。最后利用Matlab编程再结合实际交通测量数据验证了算法的正确性。

    标签: 物联网 智能交通 判别 法的研究

    上传时间: 2014-12-28

    上传用户:GavinNeko

  • 计数器程序

    计数器和分频器使用

    标签: 计数器 程序

    上传时间: 2013-11-12

    上传用户:南国时代

  • 各种功能的计数器实例(VHDL源代码)

    各种功能的计数器实例(VHDL源代码):

    标签: VHDL 计数器 源代码

    上传时间: 2013-10-16

    上传用户:bjgaofei

  • 各种功能的计数器实例(VHDL源代码)

    各种功能的计数器实例(VHDL源代码):ENTITY counters IS  PORT  (   d  : IN  INTEGER RANGE 0 TO 255;   clk  : IN BIT;   clear : IN BIT;   ld  : IN BIT;   enable : IN BIT;   up_down : IN BIT;   qa  : OUT  INTEGER RANGE 0 TO 255;   qb  : OUT  INTEGER RANGE 0 TO 255;   qc  : OUT  INTEGER RANGE 0 TO 255;   qd  : OUT  INTEGER RANGE 0 TO 255;   qe  : OUT  INTEGER RANGE 0 TO 255;   qf  : OUT  INTEGER RANGE 0 TO 255;   qg  : OUT  INTEGER RANGE 0 TO 255;   qh  : OUT  INTEGER RANGE 0 TO 255;   qi  : OUT  INTEGER RANGE 0 TO 255;

    标签: VHDL 计数器 源代码

    上传时间: 2014-11-30

    上传用户:半熟1994