虫虫首页|资源下载|资源专辑|精品软件
登录|注册

符号化

  • 电路元件符号及简单的电路图

    电路元件符号及简单的电路图一、教学目标    认知目标:知道开关在电路中的作用,了解开关的类型及用途。掌握常用电路元件的电路符号,学习绘制简

    标签: 电路元件 符号 电路图

    上传时间: 2013-05-20

    上传用户:gundamwzc

  • 突发OFDM系统接收机同步算法设计及其FPGA实现

    目前,以互联网业务为代表的网络应用,正快速地向包括数据、语音、图像的综合宽带多媒体方向发展,构建宽带化、大容量、全业务、智能化的现代通信网络已成为大势所趋.宽带无线接入(BWA)凭借其组网快速灵活、运营维护方便及成本较低等竞争优势,迅速成为市场热点,各种微波、无线通信领域的先进手段和方法不断引入,各种宽带无线接入技术迅速涌现.由于BWA要用于非视距传输,所以必须考虑无线信道的多经效应.而OFDM技术凭借着鲁棒的对抗频率选择性衰落能力和极高频谱效率引起了学术界和工业界的高度重视.其基本思想是把调制在单载波上的高速串行数据流,分成多路低速的数据流,调制到多个正交载波上并行传输,这样在传输时,虽然整个信道是频率选择性衰落,但是各个子信道却是平坦衰落,有效对抗了多经效应,同时由于各个子载波是正交的,极大提高了频谱效率.可以预料的是,随着通信系统将向基于IPv6核心网的全IP包的传输方向发展,越来越多的通信系统将具有"突发模式"的特征.本文关注的正是突发OFDM系统接收机设计和实现.由于IEEE 802.11a无线局域网是OFDM技术第一次真正的应用于突发系统,实现了面向IP的无线宽带传输,所以基于IEEE 802.11a的突发OFDM系统有着重要的借鉴和研究价值,本文也正是围绕着这个中心而展开.本文的各章节安排如下:在第一章中主要介绍OFDM的技术原理和在宽带无线接入中的应用,同时引出本文所关注的突发OFDM接收机设计.在第二章中先介绍了相干接收和信道估计的概念,重点分析了本文所采用的WLAN信道模型和信道估计算法,然后在得到同步误差表达式的基础上,先用星座图直观的表现OFDM系统中各种同步误差的影响,再从信噪比损失的角度对符种同步误差进行分析.第三章是本文的重点之一,在本章中对基于IEEE 802.11a的各种同步算法包括帧检测和符号定时、载波同步和采样时钟同步进行仿真和比较,并针对适合FPGA实现的同步算法进行了重点的分析.第四章也是本文的重点之一,提出了整个OFDM系统平台的硬件结构和基于IEEE 802.11a的接收机FPGA设计方案,然后从整体上介绍了接收机的实现结构,并给出了接收机各个模块的具体设计,最后对整个系统调试过程和测试结果进行了分析.

    标签: OFDM FPGA 接收机

    上传时间: 2013-04-24

    上传用户:zhoujunzhen

  • 参数化Viterbi译码器的FPGA实现

    本文以某型号接收机的应用为背景,主要论述了如何实现基于FPGA的参数化的Viterbi译码器的知识产权(IP)核。文中详细论述了译码器的内部结构、VerilogHDL(硬件描述语言)实现、仿真测试等。这些可变的参数包括:码型、ACS(加比选)单元的数目、软判决比特数、回溯深度等。用户可以根据自己的需要设置不同的参数由开发工具生成不同的译码器用于不同的系统。  本文的创新之处在于,针对FPGA的内部结构提出了一种新的累加度量RAM的组织形式,大大节省了嵌入式RAM块;提出了一种新的累加度量值的归一化办法;此外还给出了用Matlab建模得到软判决信息辅助仿真工具进行电路仿真的方法,大大提高了仿真的速度。  所设计的(2,1,7)连续型5比特软判决译码器已经应用于某型号接收机,经受了实际应用的考验产生了巨大的经济效益。

    标签: Viterbi FPGA 参数 译码器

    上传时间: 2013-04-24

    上传用户:waizhang

  • 基于“单片机CPLDFPGA体系结构”的程控交换机系统集成化设计

    有线通信方式由于具有保密性高、抗干扰能力强在军事通信中倍受青睐,因此,对军用有线通信设备的研究和设计具有十分重要的战略意义.TBJ-204型野战20线程控交换机是一种小型背负式模拟空分程控用户交换机,用于装备全军各兵种的作战、演习和紧急抢险等行动.该项目以该交换机为研究对象,在详细分析原设备的系统结构和功能实现方式的基础上,指出该机型在使用过程中存在技术相对陈旧、分立元件过多、可靠性和保密性不够、体积大、重量大、维修困难等问题,同时结合系统的低功耗需求和优化人机接口设计,本文提出基于"单片机+CPLD/FPGA体系结构"的集成化设计方案:①在CPLD中实现信号音分频和计时频率生成电路、20路用户LED状态控制电路;②CPLD与单片机以总线接口方式实现译码、数据和控制信号锁存功能的VHDL设计;③基于低功耗设计的器件选型方案和单片机待机模式设计;④人机接口的LCD菜单操作方式.该文详细介绍了改型设备的研制过程,包括CPLD片内功能设计实现、主控制板和用户板各功能模块工作原理和设计实现、各硬件模块功能测试等,最后给出了局内呼叫处理功能和话务员服务功能的软件实现流程.文章结尾介绍了改型设备的系统性能,它将实现更高的可靠性、保密性和抗干扰能力,同时具备低功耗和小型化的优点.最后,该文总结了项目设计中使用的关键技术,指出了设计的创新意义和将来的工作.

    标签: CPLDFPGA 单片机 程控交换机

    上传时间: 2013-04-24

    上传用户:啊飒飒大师的

  • 基于FPGA的GPIB控制器的IP核设计

    当前,片上系统(SOC)已成为系统实现的主流技术。流片风险与费用增加、上市时间压力加大、产品功能愈加复杂等因素使得SOC产业逐渐划分为IP提供者、SOC设计服务者和芯片集成者三个层次。SOC设计已走向基于IP集成的平台设计阶段,经过严格验证质量可靠的IP核成为SOC产业中的重要一环。 GPIB控制器芯片是组建自动测试系统的核心,在测试领域应用广泛。本人通过查阅大量的技术资料,分析了集成电路在国内外发展的最新动态,提出了基于FPGA的自主知识产权的GPIB控制器IP核的设计和实现。 本文首先讨论了基于FPGA的GPIB控制器的背景意义,接着对FPGA开发所具备的基本知识作了简要介绍。文中对GPIB总线进行了简单的描述,根据芯片设计的主要思想,重点在于论述怎样用FPGA来实现IEEE-488.2协议,并详细阐述了GPIB控制器的十种接口功能及其状态机的IP核实现。同时,对数据通路也进行了较为细致的说明。在设计的时候采用基于模块化设计思想,用VerilogHDL语言完成各模块功能描述,通过Synplifv软件的综合,用Modelsim对设计进行了前、后仿真。最后利用生成的模块符号采取类似画电路图的方法完成整个系统芯片的lP软核设计,并用EDA工具下载到了FPGA上。 为了更好地验证设计思想,借助EDA工具对GPIB控制器的工作状态进行了软件仿真,给出仿真结果,仿真波形验证了GPIB控制器的工作符合预想。最后,本文对基于FPGA的GPIB控制器的IP核设计过程进行了总结,展望了当前GPIB控制器设计的发展趋势,指出了开展进一步研究需要做的工作。

    标签: FPGA GPIB 控制器 IP核

    上传时间: 2013-04-24

    上传用户:rockjablew

  • 大场景图像融合可视化系统

    随着图像处理技术和投影技术的不断发展,人们对高沉浸感的虚拟现实场景提出了更高的要求,这种虚拟显示的场景往往由多通道的投影仪器同时在屏幕上投影出多幅高清晰的图像,再把这些单独的图像拼接在一起组成一幅大场景的图像。而为了给人以逼真的效果,投影的屏幕往往被设计为柱面屏幕,甚至是球面屏幕。当图像投影在柱面屏幕的时候就会发生几何形状的变化,而避免这种几何变形的就是图像拼接过程中的几何校正和边缘融合技术。 一个大场景可视化系统由投影机、投影屏幕、图像融合机等主要模块组成。在虚拟现实应用系统中,要实现高临感的多屏幕无缝拼接以及曲面组合显示,显示系统还需要运用几何数字变形及边缘融合等图像处理技术,实现诸如在平面、柱面、球面等投影显示面上显示图像。而关键设备在于图像融合机,它实时采集图形服务器,或者PC的图像信号,通过图像处理模块对图像信息进行几何校正和边缘融合,在处理完成后再送到显示设备。 本课题提出了一种基于FPGA技术的图像处理系统。该系统实现图像数据的AiD采集、图像数据在SRAM以及SDRAM中的存取、图像在FPGA内部的DSP运算以及图像数据的D/A输出。系统设计的核心部分在于系统的控制以及数字信号的处理。本课题采用XilinxVirtex4系列FPGA作为主处理芯片,并利用VerilogHDL硬件描述语言在FPGA内部设计了A/D模块、D/A模块、SRAM、SDRAM以及ARM处理器的控制器逻辑。 本课题在FPGA图像处理系统中设计了一个ARM处理器模块,用于上电时对系统在图像变化处理时所需参数进行传递,并能实时从上位机更新参数。该设计在提高了系统性能的同时也便于系统扩展。 本文首先介绍了图像处理过程中的几何变化和图像融合的算法,接着提出了系统的设计方案及模块划分,然后围绕FPGA的设计介绍了SDRAM控制器的设计方法,最后介绍了ARM处理器的接口及外围电路的设计。

    标签: 图像融合 可视化

    上传时间: 2013-04-24

    上传用户:1047385479

  • 基于数据符号同步的FPGA仿真实现

    近年来,人们对无线数据和多媒体业务的需求迅猛增加,促进了宽带无线通信新技术的发展和应用。正交频分复用 (Orthogonal Frequency Division Multiolexing,OFDM)技术已经广泛应用于各种高速宽带无线通信系统中。然而 OFDM 系统相比单载波系统更容易受到频偏和时偏的影响,因此如何有效地消除频偏和时偏,实现系统的时频同步是 OFDM 系统中非常关键的技术。 本文讨论了非同步对 OFDM 系统的影响,分析了当前用于 OFDM 系统中基于数据符号的同步算法,并简单介绍非基于数据符号同步技术。基于数据符号的同步技术通过加入训练符号或导频等附加信息,并利用导频或训练符号的相关性实现时频同步。此算法由于加入了附加信息,降低了带宽利用率,但同步精度相对较高,同步捕获时间较短。 随着电子芯片技术的快速发展,电子设计自动化 (Electronic DesignAutomation,EDA) 技术和可编程逻辑芯片 (FPGA/CPLD) 的应用越来越受到大家的重视,为此文中对 EDA 技术和 Altera 公司制造的 FPGA 芯片的原理和结构特点进行了阐述,还介绍了在相关软件平台进行开发的系统流程。 论文在对基于数据符号三种算法进行较详细的分析和研究的基础上,尤其改进了基于导频符号的同步算法之后,利用 Altera 公司的 FPGA 芯片EP1S25F102015 在 OuartusⅡ5.0 工具平台上实现了 OFDM 同步的硬件设计,然后进行了软件仿真。其中对基于导频符号同步的改进算法硬件设计过程了进行了详细阐述。不仅如此,对于基于 PN 序列帧的同步算法和基于循环前缀 (Cycle Prefix,CP) 的极大似然 (Maximam Likelihood,ML)估计同步算法也有具体的仿真实现。 最后,文章还对它们进行了比较,基于导频符号同步设计的同步精度比较高,但是耗费芯片的资源多,另一个缺点是没有频偏估计,因此运用受到一定限制。基于 PN 序列帧的同步设计使用了最少的芯片资源,但要提取 PN 序列中的信号数据有一定困难。基于循环前缀的同步设计占用了芯片 I/O 脚稍显多。这几种同步算法各有优缺点,但可以根据不同的信道环境选用它们。

    标签: FPGA 数据 同步的 仿真实现

    上传时间: 2013-04-24

    上传用户:断点PPpp

  • 基于VB的凸轮机构的参数化设计及其动画仿真

    本文以VB 为主体开发语言,实现了参数化设计凸轮和凸轮轮廓设计过程的动画仿真,既提高了凸轮设计效率,又益于计算机辅助教学。

    标签: 凸轮机构 参数 动画仿真

    上传时间: 2013-06-12

    上传用户:www240697738

  • 信道化中频接收机设计与仿真实现

    软件无线电(Software Radio)具有高度灵活性、开放性,很容易实现与现有和未来多种电台的兼容,能最大限度的满足了互联互通的要求。而基于多相滤波器组的信道化软件无线电接收技术以其固有的全概率接收、降采样速率以及其大幅提高运算速率的能力越来越受到重视。本文主要研究了基于现场可编程门阵列(FPGA)的软件无线电信道化中频接收技术设计与实现。 首先介绍了软件无线电的基本概念以及其发展状况,深入讨论了软件无线电的基本理论,主要介绍了设计中所用到的带通采样技术、信号的抽取技术与多相滤波技术。 然后简要介绍了信道化中频接收机的射频(Radio Frequency,RF)前端接收技术,设置宽中频超外差接收机射频前端的设计指标,给出了改进的实信号滤波器组低通型实现结构,并依此推导和建立了实信号多相滤波器组信道化中频接收机的数学模型。 最后基于EP1S80开发平台实现了实信号多相滤波器组信道化的中频接收机。给出了多相滤波器、抽取运算、FFT运算、信道划分以及复乘运算的设计方案。仿真结果表明,该接收机能够实现对中频信号的正确接收,验证了系统设计的可行性。

    标签: 信道 中频 仿真实现 收机设计

    上传时间: 2013-06-12

    上传用户:qq521

  • 基于可重配置的OFDM基带系统的FPGA设计

    1992年5月,JoeMitola首次明确提出了软件无线电的概念。软件无线电将模块化、标准化的硬件单元连接构成硬件平台,通过软件加载实现各种无线通信功能。端到端重配置技术是在软件无线电的基础上发展起来的,该技术使通信系统不仅具有重配置的能力,还能提供一体化的重配置管理架构,实现联合无线资源管理和网络规划。端到端重配置技术已经成为软件无线电的发展趋势。 宽带无线接入(BWA,BroadbandWirelessAccess)是当前通信界研究的热点之一,而WiMax和WiFi是BWA中最热门的两个技术,所以本文选择了IEEE802.16-2004与IEEE802.11a,设计了基于其物理层标准的可重配置OFDM基带系统。它们均采用正交频分复用技术(OFDM,OrthogonalFrequencyDivisionMultiplexing)。 本文研究了IEEE802.16-2004与IEEE802.11a物理层标准,结合Altera公司提供的FPGA开发工具QuartusⅡ、Mentor公司仿真工具ModelsimSE6.0,完成了基于IEEE802.16-2004及IEEE802.11a的可重配置OFDM基带系统的FPGA设计。该设计中,对FPGA进行重新配置,实现了802.16-2004与802.11a两种技术的完全重配置;通过选择不同的参数来调用不同子模块,实现802.16-2004与802.11a内部不同调制技术的局部重配置。该可重配置基带系统核心的FFT/IFFT。模块采用基4按频率抽取及Cordic算法,消除乘法运算,有利于FPGA实现;在802.16-2004系统中,选取了基于前导序列的符号同步算法,在FPGA中实现。最后使用开发软件、综合软件以及仿真软件分析了系统的性能并给出了系统的性能指标。

    标签: OFDM FPGA 可重配置 基带系统

    上传时间: 2013-05-19

    上传用户:branblackson