虫虫首页|资源下载|资源专辑|精品软件
登录|注册

码流分析仪

  • 卷积码(对数据流)编码解码,并分析结果。附带打孔程序段。

    卷积码(对数据流)编码解码,并分析结果。附带打孔程序段。

    标签: 卷积码 数据流 编码解码

    上传时间: 2017-03-08

    上传用户:yt1993410

  • 本源码是CORTEX-M3之流明LM3SXXX系列上的RTC程序,此处理器系列不带年月日寄存器,只提供秒寄存器,带有部分算法...

    本源码是CORTEX-M3之流明LM3SXXX系列上的RTC程序,此处理器系列不带年月日寄存器,只提供秒寄存器,带有部分算法...

    标签: CORTEX-M LM3SXXX RTC 寄存器

    上传时间: 2017-04-06

    上传用户:gxrui1991

  • 自做的一个流程流码,用于判断C51单片机的长按与短按键的功能(一键多功能),自动调用相应的处理函数.修改下还可以实现更多的功能.

    自做的一个流程流码,用于判断C51单片机的长按与短按键的功能(一键多功能),自动调用相应的处理函数.修改下还可以实现更多的功能.

    标签: C51 流程 单片机 函数

    上传时间: 2014-11-30

    上传用户:huql11633

  • IT-深圳吧网科技有限公司oa工作流源码

    IT-深圳吧网科技有限公司oa工作流源码

    标签: IT 源码

    上传时间: 2017-04-28

    上传用户:manking0408

  • 本源码为利用光流法提取视频关键帧的matlab程序实现

    本源码为利用光流法提取视频关键帧的matlab程序实现,也是本文基于内容的视频检索的论文所写的代码,需要的可以参考!

    标签: matlab 源码 光流法 关键帧

    上传时间: 2014-01-04

    上传用户:gut1234567

  • AVR Mega128 电机保护程序源码 含过流、欠压、不平衡保护

    AVR Mega128 电机保护程序源码 含过流、欠压、不平衡保护

    标签: Mega AVR 128 电机保护

    上传时间: 2017-05-07

    上传用户:王庆才

  • 流媒体 码率控制 会议论文 清华大学课程指定阅读文献 英文

    流媒体 码率控制 会议论文 清华大学课程指定阅读文献 英文

    标签: 流媒体 码率控制 清华大学 论文

    上传时间: 2013-12-20

    上传用户:pinksun9

  • 电子设计大赛作品_音频信号分析仪的FPGA源码(一等奖)

    电子设计大赛作品_音频信号分析仪的FPGA源码(一等奖)

    标签: FPGA 电子设计大赛 源码 音频信号分析仪

    上传时间: 2014-01-17

    上传用户:stampede

  • 基于FPGA的TS流复用器及其接口的设计与实现.rar

    在数字电视系统中,MPEG-2编码复用器是系统传输的核心环节,所有的节目、数据以及各种增值服务都是通过复用打包成传输流传输出去。目前,只有少数公司掌握复用器的核心算法技术,能够采用MPEG-2可变码率统计复用方法提高带宽利用率,保证高质量图像传输。由于目前正处广播电视全面向数字化过渡期间,市场潜力巨大,因此对复用器的研究开发非常重要。本文针对复用器及其接口技术进行研究并设计出成形产品。 文中首先对MPEG-2标准及NIOS Ⅱ软核进行分析。重点研究了复用器中的部分关键技术:PSI信息提取及重构算法、PID映射方法、PCR校正及CRC校验算法,给出了实现方法,并通过了硬件验证。然后对复用器中主要用到的AsI接口和DS3接口进行了分析与研究,给出了设计方法,并通过了硬件验证。 本文的主要工作如下: ●首先对复用器整体功能进行详细分析,并划分软硬件各自需要完成的功能。给出复用器的整体方案以及ASI接口和DS3接口设计方案。 ●在FPGA上采用c语言实现了PSI信息提取与重构算法。 ●给出了实现快速的PID映射方法,并根据FPGA特点给出一种新的PID映射方法,减少了逻辑资源的使用,提高了稳定性。 ●采用Verilog设计了SI信息提取与重构的硬件平台,并用c语言实现了SDT表的提取与重构算法,在FPGA中成功实现了动态分配内存空间。 ●在FPGA上实现了.ASI接口,主要分析了位同步的实现过程,实现了一种新的快速实现字节同步的设计。 ●在FPGA上实现了DS3接口,提出并实现了一种兼容式DS3接口设计。并对帧同步设计进行改进。 ●完成部分PCB版图设计,并进行调试监测。 本复用器设计最大特点是将软件设计和硬件设计进行合理划分,硬件平台及接口采用Verilog语言实现,PSI信息算法主要采用c语言实现。这种软硬件的划分使系统设计更加灵活,且软件设计与硬件设计可同时进行,极大的提高了工作效率。 整个项目设计采用verilog和c两种语言完成,采用Altera公司的FPGA芯片EP1C20,在Quartus和NIOS IDE两种设计平台下设计实现。根据此方案已经开发出两台带有ASI和DS3接口的数字电视TS流复用器,经测试达到了预期的性能和技术指标。

    标签: FPGA TS流 复用器

    上传时间: 2013-08-02

    上传用户:gdgzhym

  • 基于FPGA的频率域MPEG2码率转换

    近年来,随着网络技术的发展和视频编码标准受到广泛接受,视频点播、视频流和远程教育等基于网络的多媒体业务逐渐普及。为了对拥有不同终端资源,不同接入网络以及不同兴趣的用户提供灵活的多媒体数据访问服务,多媒体数据的内容需要根据应用环境动态调整,转码正是实现这一挑战性任务的关键技术之一。 视频转码对时间的要求非常苛刻,以至于用高速的通用微处理器芯片也无法在规定的时间内完成必要的运算。因此,必须为这样的运算设计一个专用的高速硬线逻辑电路,在高速FPGA器件上实现或制成高速专用集成电路。用高密度的FPGA来构成完成转码算法所需的电路系统,实现专用集成电路的功能,因其成本低、设计周期短、功耗小、可靠性高、使用灵活等优点而成为适合本课题的最佳选择。 本文根据MPEG-2中可变长编码(VLC)理论,采用了两级查找表减少了VLC存储空间的使用,完成VLC编码的实现。根据MPEG-2中关于System Packet的定义,针对FPGA可实现性,以空间换取复杂度的减少,实现了PES包的打包模块。根据MPEG-2相应的转码理论,完成了对系统解码模块相应的连接和调试,对解码模块以真实的bit流进行了贴近板级的情况的仿真。根据MPEG-2中TM5的算法的局限性,分析得出只需要对P帧进行相应处理即可改进场景变换对视频质量的影响,完成对TM5的算法的改进。通过性能估算和电路仿真,各模块的吞吐率能够满足转码系统的要求。

    标签: MPEG2 FPGA 频率 码率

    上传时间: 2013-07-22

    上传用户:shinesyh