虫虫首页|资源下载|资源专辑|精品软件
登录|注册

显示单元

  • 学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。

    学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。

    标签: 数字电路 RS触发器 单稳态触发器 单元电路

    上传时间: 2017-01-20

    上传用户:sammi

  • 学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。

    学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。

    标签: 数字电路 RS触发器 单稳态触发器 单元电路

    上传时间: 2017-01-20

    上传用户:liglechongchong

  • 从1302中读出的数据放在 52H(小时)51H(分钟)50H(秒) 显示缓冲单元 72H-73H(45H-44H)小时,75H-76H(43H-42H)分钟,78H-79H(41H-40H)秒

    从1302中读出的数据放在 52H(小时)51H(分钟)50H(秒) 显示缓冲单元 72H-73H(45H-44H)小时,75H-76H(43H-42H)分钟,78H-79H(41H-40H)秒 定时器T1为调整时候闪烁用。 显示式样第一行为: 时间: 15:38:12 显示式样第二行为: 中文字符共7个

    标签: 1302 52H 51H 50H

    上传时间: 2013-12-26

    上传用户:日光微澜

  • 智能温度系统设计,本设计主要通过以单片机为控制单元的温度采集、转化、显示并通过串口将数据传输到PC机

    智能温度系统设计,本设计主要通过以单片机为控制单元的温度采集、转化、显示并通过串口将数据传输到PC机,在PC机端实现数据的存储、分析、绘制波形。在以单片机技术和VC编程技术为基础提出了系统框架和具体的设计方案,用汇编和C语言从硬件电路和软件阐述了控制系统的总体实现,并利用按键、LCD显示等外围设备为用户提供服务,实现人机交互。

    标签: 智能温度 单片机 串口 PC机

    上传时间: 2014-01-22

    上传用户:日光微澜

  • 采用平面梁单元计算平面刚架的变形和内力 功能:定义有限元模型、求解有限元模型、显示计算结果

    采用平面梁单元计算平面刚架的变形和内力 功能:定义有限元模型、求解有限元模型、显示计算结果

    标签: 计算 有限元模型 变形 定义

    上传时间: 2017-04-28

    上传用户:gxrui1991

  • 晶闸管投切电容器TSC中功率单元的研究.rar

    随着低压供电系统中感性负荷越来越多,电网对无功电流的需求量急剧增加,为了提高系统供电质量和供电效率,必须对电网进行无功补偿。晶闸管投切电容器(TSC)一种简单易行的补偿措施,并已得到广泛应用。但是长期以来无功补偿装置中的电容器投切开关存在功能单一、使用寿命短、开关冲击大等不足,这些不足严重制约了补偿装置的发展。因此开发大容量快速的集多种功能于一体的电子开关功率单元将是晶闸管投切电容器(TSC)技术中长期研究的主要内容,具有很高的实用价值。 首先,本文回顾了投切开关的发展历史,并指出它们存在的优点和弊端。阐述了晶闸管投切电容器(TSC)的基本工作原理及主电路的组成和实现手段。 其次,提出功率单元的概念,并介绍了它的组成、功能和作用、对功率单元各个组成部分进行研究,主要包括根据系统电压和电流选择晶闸管型号、根据TSC无过渡过程原理的分析来设计过零触发模块、利用补偿电容上的工作电压波形设计多功能卡上的工作指示电路、故障检测电路,根据TSC的保护特点将温度开关串入到控制信号和冷却风扇电路,在温度过高时起到对功率单元的保护作用。然后在理论及设计参数的基础上制造功率单元。在已有的TSC补偿装置上对功率单元的性能进行实验,实验结果表明,论文所设计功率单元能很好的实现投切电容器的作用,还实现各种保护和显示功能,提高效率和补偿效果。 最后,系统地阐述了功率单元作为集成化开关模块在无功补偿领域的优越性,并指出设计中需要完善的地方。

    标签: TSC 晶闸管 功率

    上传时间: 2013-07-19

    上传用户:许小华

  • 基于FPGA的LED视频显示控制系统的设计.rar

    LED显示屏是LED点阵模块或者像素单元组成的平面显示屏幕。自从诞生以来,以其亮度高、视角广、寿命长、性价比高的特点,在交通、广告、新闻发布、体育比赛、电子景观等领域得到了广泛应用。 LED显示屏控制器作为控制LED屏显示图像、数据的关键,是整个LED视频显示系统的核心。本文研究的是对全彩色同步LED屏的控制,控制LED屏同步显示在上位机显示系统中某固定位置处的图像。根据已有的LED显示屏及其驱动器的特点,提出了一种可行的方案并进行了设计。系统主要分为两个部分:视频信号的获取,视频信号的处理。 经过分析比较,决定从显卡的DVI接口获得视频源,视频源经过DVI解码芯片TFP401A的解码后,可以获得图像的数字信息,这些信息包括红、绿、蓝三基色的数据以及行同步、场同步、使能等控制信号。这些信号将在视频信号处理模块中被使用。 信号处理模块在接收视频信号源后,对数据进行处理,最后输出数据给驱动电路。在信号处理模块中,采用了可编程逻辑器件FPGA来完成。可编程逻辑器件具有高集成度、高速度、高可靠性、在线可编程(ISP)等特点,所以特别适合于本设计。利用FPGA的可编程性,在FPGA内部划分了各个小模块,各小模块中通过少量的信号进行联系,这样就将比较大的系统转化成许多小的系统,使得设计更加简单,容易验证。本文分析了驱动电路所需要的数据的特点,全彩色灰度级的实现方式,决定把系统划分为视频源截取、RGB格式转化、位平面分离、读SRAM地址发生器、写SRAM地址发生器、读写SRAM选择控制器、灰度实现等模块。 最后利用示波器和SignalTap II逻辑分析仪等工具,对系统进行了联合调试。改进了时序、优化了布局布线,使得系统性能得到了良好的改善。 在分析了所需要的资源的基础上,课题决定采用Altera的Cyclone EP1C12 FPGA设计视频信号处理模块,在Quartus II和modelsim平台下,用Verilog HDL语言开发。

    标签: FPGA LED 视频显示

    上传时间: 2013-05-18

    上传用户:玉箫飞燕

  • 基于FPGA的HDMI显示系统的设计与实现.rar

    伴随着多媒体显示和传输技术的发展,人们获得了越来越高的视听享受。从传统的模拟电视,到标清、高清、全高清。与显示技术发展结伴而行的是显示接口技术的发展,从模拟的AV端子,S-Video和VGA接口,到数字显示的DVI接口,技术上经历了一个从模拟到数字,从并行到串行,从低速到高速的发展过程。 HDMI是最新的高清晰度多媒体接口,它的规范由Silicon Image等七家公司提出,具有带宽大,尺寸小,传输距离长和支持正版保护等功能,符合当今技术的发展潮流,一经推出,就获得了巨大的成功。成为平板显示器、高清电视等设备的标准接口之一,并获得了越来越广泛的应用。 从上世纪80年代XILINX发明第一款FPGA芯片以来,FPGA就以其体系结构和逻辑单元灵活,运算速度快,编程方便等优点广泛应用与IC设计、系统控制、视频处理、通信系统、航空航天等诸多方面。 本文利用ALTERA的一款高端FPGA芯片EP2S180F1508C3为核心,配合Silicon Image的专用HDMI接收芯片搭建了一个HDMI的接收显示平台。针对HDMI带宽宽,数据量大的特点,使用了新型的DDR2 SDRAM作为视频信号的输入和输出缓冲。在硬件板级设计上,针对HDMI和DDR2的相关高速电路,采用了一系列的高速电路设计方法,有效的避免了信号的反射,串扰等不良现象。同时在对HDMI规范和DDR2 SDRAM时序规范的深入研究的基础上,在ALTERA的开发平台QUARTUSII上编写了系统的顶层模块和相关各功能子模块,并仿真通过。 论文的主要工作和创新点表现在以下几个方面: 1、论文研究了最新的HDMI接口规范和新型存储器件DDR2的时序规范。 2、论文搭建的整个系统相当庞大,涉及到相关的规范、多种芯片的资料、各种工具软件的使用、原理图的绘制和PCB板的布局布线,直至后期的编程仿真,花费了作者大量的时间和精力。 3、论文首次使用FPGA来处理HDMI信号且直接驱动显示器件,区别于-般的ASIC方案。 4、论文对高速电路特别是的DDR2布局布线,采用了一系列的专门措施,具有一定的借鉴价值。

    标签: FPGA HDMI 显示系统

    上传时间: 2013-07-27

    上传用户:xiaoxiang

  • 基于FPGA的HDMI显示系统的设计与实现

    伴随着多媒体显示和传输技术的发展,人们获得了越来越高的视听享受。从传统的模拟电视,到标清、高清、全高清。与显示技术发展结伴而行的是显示接口技术的发展,从模拟的AV端子,S-Video和VGA接口,到数字显示的DVI接口,技术上经历了一个从模拟到数字,从并行到串行,从低速到高速的发展过程。 HDMI是最新的高清晰度多媒体接口,它的规范由Silicon Image等七家公司提出,具有带宽大,尺寸小,传输距离长和支持正版保护等功能,符合当今技术的发展潮流,一经推出,就获得了巨大的成功。成为平板显示器、高清电视等设备的标准接口之一,并获得了越来越广泛的应用。 从上世纪80年代XILINX发明第一款FPGA芯片以来,FPGA就以其体系结构和逻辑单元灵活,运算速度快,编程方便等优点广泛应用与IC设计、系统控制、视频处理、通信系统、航空航天等诸多方面。 本文利用ALTERA的一款高端FPGA芯片EP2S180F1508C3为核心,配合Silicon Image的专用HDMI接收芯片搭建了一个HDMI的接收显示平台。针对HDMI带宽宽,数据量大的特点,使用了新型的DDR2 SDRAM作为视频信号的输入和输出缓冲。在硬件板级设计上,针对HDMI和DDR2的相关高速电路,采用了一系列的高速电路设计方法,有效的避免了信号的反射,串扰等不良现象。同时在对HDMI规范和DDR2 SDRAM时序规范的深入研究的基础上,在ALTERA的开发平台QUARTUSII上编写了系统的顶层模块和相关各功能子模块,并仿真通过。 论文的主要工作和创新点表现在以下几个方面: 1、论文研究了最新的HDMI接口规范和新型存储器件DDR2的时序规范。 2、论文搭建的整个系统相当庞大,涉及到相关的规范、多种芯片的资料、各种工具软件的使用、原理图的绘制和PCB板的布局布线,直至后期的编程仿真,花费了作者大量的时间和精力。 3、论文首次使用FPGA来处理HDMI信号且直接驱动显示器件,区别于-般的ASIC方案。 4、论文对高速电路特别是的DDR2布局布线,采用了一系列的专门措施,具有一定的借鉴价值。

    标签: FPGA HDMI 显示系统

    上传时间: 2013-06-21

    上传用户:784533221

  • 基于FPGA实现雷达信号处理和图像显示

    在船舶交管系统中,雷达信息处理是最重要的组成部分。视频回波处理中的杂波处理要求实时性很高,大约要在一个距离单元的时间(0.05-0.1us)内完成。杂波处理如恒虚警处理本身比较复杂,这类处理过程又要求快速,图像显示系统要求及时的把接收到的雷达方位数据从极坐标转换成直角坐标。在软件上实现这些算法虽然精度可以达到,但是实时性问题不能满足。因此这类问题多采用高速专用数字设备来实现。FPGA在数字信号处理领域有非常广阔的应用前景,以其优良的性能在数字信号处理中发挥了重大的作用。CORDIC算法可以在硬件上以很高的精度实现一些函数和运算。针对以上几点,本文提出了利用CORDIC算法,基于FPGA来实现雷达信号处理和图像显示的算法研究,用硬件来实现正弦、余弦、正切、乘法、除法、指数和对数等基本函数和运算,把他们设计成为可重用的IP core,这样可以满足实时性和精度的问题。从而在将来的算法研究中方便的调用,这样在算法研究中可以节约大量的时间,在一定程度上降低研究的难度。 围绕雷达信号处理和图像显示,本次课题设计主要做了如下工作: 1.对CORDIC算法进行分析和研究,以及它在雷达信号处理和图像显示中的影响。 2.成功用硬件描述语言在Xilinx公司软件ISE的环境下编写代码,在Synplify和Modelsim上做了综合和仿真。 3.对实验结果进行精度和速度分析。 4.对雷达信号处理和图像显示的相关算法进行分析和研究。 5.从实例分析IP core的特点,对算法研究的影响和IP core在雷达信号处理和图像显示中的应用。 最终在实践环节,成功利用CORDIC算法,在FPGA上实现可重用的IP core,这些IP core能够以很高的精度实现一些基本函数和运算,在雷达信号处理与图像显示中起到很大的作用。

    标签: FPGA 雷达信号处理 图像显示

    上传时间: 2013-07-15

    上传用户:steele