虫虫首页|资源下载|资源专辑|精品软件
登录|注册

快速以太网

[1]快速以太网(FastEthernet)是一类新型的局域网,其名称中的“快速”是指数据速率可以达到100Mbps,是标准以太网的数据速率的十倍。
  • 快速傅立叶变换(FFT)的FPGA实现.rar

    随着数字电子技术的发展,数字信号处理的理论和技术广泛的应用于通讯、语音处理、计算机和多媒体等领域。快速傅立叶变换(FFT)使离散傅立叶变换的运算时间缩短了几个数量级,在数字信号处理领域被广泛应用。FFT已经成为现代信号处理的重要手段之一。 现场可编程门阵列(FPGA)是近年来迅速发展起来的新型可编程器件。随着它的不断应用和发展,也使电子设计的规模和集成度不断提高。同时基于FPGA实现FFT的设计方法和思想被提出。本次设计的目的是快速傅立叶变换(FFT)的FPGA实现。 此文在分析了快速傅立叶算法的基础上,提出了一种频率抽取基4 FFT的FPGA设计方案,针对现有FFT的FPGA实现过程中蝶形运算需要频繁乘以多个旋转因子提出了改进方法,减少了旋转因子的乘法次数和存储空间,加快了蝶形运算的速度,设计的地址映射方法,无需运算即可得到所需数据的存放地址,并结合采用乒乓结构和流水线方式,来提高快速傅立叶变换(FFT)FPGA实现的速度。描述了一片FPGA芯片内完成了整个FFT处理器的电路设计,经过模块时序仿真和数据的验证及测试,达到工作在50MHz时钟频率的设计要求。最后对后续设计做了描述,并对用FPGA实现FFT做了展望。

    标签: FPGA FFT 傅立叶变换

    上传时间: 2013-04-24

    上传用户:康郎

  • MDIO接口逻辑设计及其FPGA验证.rar

    随着集成电路技术的飞速发展,芯片的规模越来越大,集成度越来越高,工作频率越来越快,但是芯片的设计能力却面临巨大的挑战。而IP核的重用则是解决当今芯片设计所面临问题的最有效的解决方法。 MDIO接口模块为以太网接口芯片中MAC层对PHY器件的控制管理接口。随着以太网技术的快速发展以及MAC应用越来越广泛,MDIO接口模块的应用也越来越多,因此将MDIO接口模块设计成可重用的IP核对于以各种太网接口集成芯片的设计具有很重要的作用。 本文详细描述了MDIO接口模块IP核的设计,介绍了该IP核的系统结构以及各个子模块的详细设计方法,对此IP核进行了仿真验证,最后进行了FPGA测试,功能和性能达到了要求,最终通过了IP审核流程并且已成功应用于企业的以太网接口芯片中。

    标签: MDIO FPGA 接口

    上传时间: 2013-06-19

    上传用户:lishuoshi1996

  • 《51单片机C语言快速上手》.rar

    介绍了51单片机原理及组成,并详细论述了C语言。可使入门者快速上手

    标签: 51单片机C语言

    上传时间: 2013-06-05

    上传用户:luominghua

  • 双信号快速测频技术及FPGA实现

    建立在数据率转换技术之上的宽带数字侦察接收机要求能够实现高截获概率、高灵敏度、近乎实时的信号处理能力。双信号数据率转换技术是宽带数字侦察接收机关键技术之一,是解决宽带数字接收机中前端高速ADC采样的高速数据流与后端DSP处理速度之间瓶颈问题的可行方案。测频技术以及带通滤波,即宽带数字下变频技术,是实现数据率转换系统的关键技术。本文首先介绍了宽带数字侦察接收关键技术之一的数据率转换技术,着重研究了快速、高精度双信号测频算法以及实验系统硬件实现。论文主要工作如下: (1)分析了现代电子侦察环境下的信号特征,指出宽带数字接收机必须满足宽监视带宽、流水作业以及近实时的响应时间。给出了一种频率引导式的数字接收机方案,简要介绍这种接收机的关键技术——快速、高精度频率估计以及高效的数据率转换。 (2)介绍了FFT技术在测频算法中的应用,比较了FFT专用芯片及其优点和缺点,指出为了满足实时处理要求,必须选用FPGA设计FFT模块。 (3)在分析常规的插值算法基础上,提出了一种单信号的快速插值频率估计方法,只需三个FFT变换系数的实部构造频率修正项,计算量低。该方法具有精度高、测频速率快的特点。 (4)基于DFT理论和自相关理论,提出了结合FFT和自相关的双信号频率估计算法。该方法先用DFT估计其中一个信号的频率和幅度,以此频率对信号解调并对消该频率成分,最后利用自相关理论估计出另一个信号的频率。 (5)基于DFT理论和FFT技术,研究了信号平方与FFT结合的双信号频率估计算法。根据信号中两频率分量的幅度比,只需一次一维平方信号谱峰搜索,就可以得到双信号的和频与差频分量的估计值,并利用插值技术提高测频精度。该算法能够精确地估计频率间隔小的双信号频率,且容易地扩展到复信号,FPGA硬件实现容易。 (6)基于现代谱分析理论,研究了基于AR(2)模型的双信号频率估计算法。方法在利用AR(2)模型系数估计双正弦信号频率之和的同时,利用FFT快速测频算法估计其中强信号分量的频率值。算法仿真验证和性能分析表明了提出的算法能快速高精度地估计双信号频率。 (7)给出了基于频谱重心算法的雷达双信号频率估计的FPGA硬件实现架构,并进行了时序仿真。 (8)讨论了双信号带宽匹配接收系统的硬件设计方案,给出了快速测频及带宽估计模块设计。

    标签: FPGA 信号 测频

    上传时间: 2013-06-02

    上传用户:youke111

  • 基于FPGA的以太网络接口的设计及实现

    本文的主要研究内容是利用FPGA平台实现以太网络接口。 首先,对论文的大致内容和组织结构做了简要介绍,并且比较分析了目前比较流行的网络接口实现的三种方法,并以此为基础提出了本文中重点介绍的基于FPGA 的网络接口实现方法。 其次,介绍采用以FPGA 做为主控芯片控制8019AS 网络控制芯片来实现从网络上接收数据帧的功能。FPGA 需要在上电时完成对于8019AS的初始化设置。在接收和发送数据报文时,对相应的寄存器进行控制和操作以完成网络数据帧的接收。对FPGA 与8019AS 之间的接口实现进行了详细的描述。 最后,介绍了在FPGA 内部对于接收到的网络数据帧进行TCP/IP协议分析的具体过程和实现方法。分别详细介绍了接收模块、发送模块以及其中子模块具体功能和实现方法。说明了模块之间相互触发的具体关系。现有的网络接口一般是采用MCU 或者ARM 等专用控制芯片来实现的,而此次课题以FPGA 作为主控芯片来实现网络接口以及部分TCP/IP 协议分析是一个创意。而且由于FPGA 多管脚可以灵活配置,也使得系统的可扩展性有了很大的提高。

    标签: FPGA 以太网络 接口的设计

    上传时间: 2013-06-09

    上传用户:huazi

  • 基于FPGA的快速傅立叶变换实现

      快速傅立叶变换(FFT)是数字信号处理中的重要内容之一,是很多信号处理过程中的核心算法。本文先总结了快速傅立叶变换的一些常用算法,并综合种种因素,采用了基2按频率抽取算法作为实现算法,然后将以现场可编程门阵列(FPGA)和以DSP处理器这两种实现数字信号处理的方式进行了比较,指出了各自的优点和不足之处。最后以FPGA芯片XCS200为硬件平台,以ISE6为软件平台,利用VHDL语言描述的方式实现了512点16Bit复数的快速傅立叶变换系统,并进行了仿真、综合等工作。仿真结果表明其计算结果达到了一定的精度,运行速度可以满足一般实时信号处理的要求。

    标签: FPGA 傅立叶 变换实现

    上传时间: 2013-06-08

    上传用户:cylnpy

  • 采用FPGA实现基于ATCA架构的2.5Gbps串行背板接口

    当前,在系统级互连设计中高速串行I/O技术迅速取代传统的并行I/O技术正成为业界趋势。人们已经意识到串行I/O“潮流”是不可避免的,因为在高于1Gbps的速度下,并行I/O方案已经达到了物理极限,不能再提供可靠和经济的信号同步方法。基于串行I/O的设计带来许多传统并行方法所无法提供的优点,包括:更少的器件引脚、更低的电路板空间要求、减少印刷电路板(PCB)层数、PCB布局布线更容易、接头更小、EMI更少,而且抵抗噪声的能力也更好。高速串行I/O技术正被越来越广泛地应用于各种系统设计中,包括PC、消费电子、海量存储、服务器、通信网络、工业计算和控制、测试设备等。迄今业界已经发展出了多种串行系统接口标准,如PCI Express、串行RapidIO、InfiniBand、千兆以太网、10G以太网XAUI、串行ATA等等。 Aurora协议是为私有上层协议或标准上层协议提供透明接口的串行互连协议,它允许任何数据分组通过Aurora协议封装并在芯片间、电路板间甚至机箱间传输。Aurora链路层协议在物理层采用千兆位串行技术,每物理通道的传输波特率可从622Mbps扩展到3.125Gbps。Aurora还可将1至16个物理通道绑定在一起形成一个虚拟链路。16个通道绑定而成的虚拟链路可提供50Gbps的传输波特率和最大40Gbps的全双工数据传输速率。Aurora可优化支持范围广泛的应用,如太位级路由器和交换机、远程接入交换机、HDTV广播系统、分布式服务器和存储子系统等需要极高数据传输速率的应用。 传统的标准背板如VME总线和CompactPCI总线都是采用并行总线方式。然而对带宽需求的不断增加使新兴的高速串行总线背板正在逐渐取代传统的并行总线背板。现在,高速串行背板速率普遍从622Mbps到3.125Gbps,甚至超过10Gbps。AdvancedTCA(先进电信计算架构)正是在这种背景下作为新一代的标准背板平台被提出并得到快速的发展。它由PCI工业计算机制造商协会(PICMG)开发,其主要目的是定义一种开放的通信和计算架构,使它们能被方便而迅速地集成,满足高性能系统业务的要求。ATCA作为标准串行总线结构,支持高速互联、不同背板拓扑、高信号密度、标准机械与电气特性、足够步线长度等特性,满足当前和未来高系统带宽的要求。 采用FPGA设计高速串行接口将为设计带来巨大的灵活性和可扩展能力。Xilinx Virtex-IIPro系列FPGA芯片内置了最多24个RocketIO收发器,提供从622Mbps到3.125Gbps的数据速率并支持所有新兴的高速串行I/O接口标准。结合其强大的逻辑处理能力、丰富的IP核心支持和内置PowerPC处理器,为企业从并行连接向串行连接的过渡提供了一个理想的连接平台。 本文论述了采用Xilinx Virtex-IIPro FPGA设计传输速率为2.5Gbps的高速串行背板接口,该背板接口完全符合PICMG3.0规范。本文对串行高速通道技术的发展背景、现状及应用进行了简要的介绍和分析,详细分析了所涉及到的主要技术包括线路编解码、控制字符、逗点检测、扰码、时钟校正、通道绑定、预加重等。同时对AdvancedTCA规范以及Aurora链路层协议进行了分析, 并在此基础上给出了FPGA的设计方法。最后介绍了基于Virtex-IIPro FPGA的ATCA接口板和MultiBERT设计工具,可在标准ATCA机框内完成单通道速率为2.5Gbps的全网格互联。

    标签: FPGA ATCA Gbps 2.5

    上传时间: 2013-05-28

    上传用户:frank1234

  • JPEG2000二维离散小波变换快速算法研究和FPGA实现

    相对于JPEG中二维离散余弦变换(2DDCT)来说,在JPEG2000标准中,二维离散小波变换(2DDWT)是其图像压缩系统的核心变换。在很多需要进行实时处理图像的系统中,如数码相机、遥感遥测、卫星通信、多媒体通信、便携式摄像机、移动通信等系统,需要用芯片实现图像的编解码压缩过程。虽然有许多研究工作者对图像处理的小波变换进行了研究,但大都只偏重算法研究,对算法硬件实现时的复杂性考虑较少,对图像处理的小波变换硬件实现的研究也较少。  本文针对图像处理的小波变换算法及其硬件实现进行了研究。对文献[13]提出的“内嵌延拓提升小波变换”(Combiningthedata-extensionprocedureintothelifting-basedDWTcore)快速算法进行仔细分析,提出一种基于提升方式的5/3小波变换适合硬件实现的算法,在MATLAB中仿真验证了该算法,证明其是正确的。并设计了该算法的硬件结构,在MATLAT的Simulink中进行仿真,对该结构进行VHDL语言的寄存器传输级(RTL)描述与仿真,成功综合到Altera公司的FPGA器件中进行验证通过。本算法与传统的小波变换的边界处理方法比较:由于将其边界延拓过程内嵌于小波变换模块中,使该硬件结构无需额外的边界延拓过程,减少小波变换过程中对内存的读写量,从而达到减少内存使用量,降低功耗,提高硬件利用率和运算速度的特点。本算法与文献[13]提出的算法相比较:无需增加额外的硬件计算模块,又具有在硬件实现时不改变原来的提升小波算法的规则性结构的特点。这种小波变换硬件芯片的实现不仅适用于JPEG2000的5/3无损小波变换,当然也可用于其它各种实时图像压缩处理硬件系统。

    标签: JPEG 2000 FPGA 二维

    上传时间: 2013-06-13

    上传用户:jhksyghr

  • 利用FPGA设计和实现点对点EoS的成帧

    通信领域的主导技术有两种:用于内部商业通信的局域网(LAN)中的以太网(Ethernet)和广域网(WAN)中的SDH(SynchronousDigitalHierarchy)。因为在SDH网络上不直接支持以太网,当企业(客户)间需要彼此通信或企业(客户)内需要将其总部与分部连至同一LAN网时互连问题便应运而生。 该研究课题的目的是研究在EoS(EthernetoverSDH)实现过程中存在的技术难题和协议实现的复杂性,提出一种简单、快速、高效的协议实现方法。主要关注的是EoS系统中与协议帧映射相关的关键技术,例如:自定义帧结构、帧定位、全数字锁相技术、流量控制技术等,最终完成EoS中这些关键技术模块的设计。 该课题简单分析EoS系统相关协议帧结构及EoS系统的原理,阐述了FPGA技术的实现方法,重点在于利用业界最先进的EDA工具实现EoS系统中帧映射技术。系统中采用一种简化了的点对点实现方案,对以太网的数据帧直接进行HDLC帧格式封装,采用多通道的E1信道承载完整的HIDLC帧方式将HDLC帧映射到E1信道中,然后采用单通道承载多个完整的E1帧方式将E1映射到SDH信道中,从而把以太网帧有效地映射到SDH的负荷中,实现“透明的局域网服务”。这对在现有的SDH传输设备上承载以太网,开发实现以太网的广域连接设备,将会具有重要的意义。

    标签: FPGA EoS 点对点

    上传时间: 2013-04-24

    上传用户:bugtamor

  • 用Verilog实现的以太网接口

    用Verilog实现的以太网接口!!!!!!!!!!!!!!!!!!

    标签: Verilog 以太网接口

    上传时间: 2013-07-12

    上传用户:LSPSL