虫虫首页|资源下载|资源专辑|精品软件
登录|注册

图书编码

  • 基于FPGA实现DVBS信道编码及调制

    DVB-S(Digital Video Broadcasting bv Satellite)调制器是符合DVB-S协议的数字电视前端设备之一,也满足我国数字电视卫星广播标准,该设备可以广泛应用于数字电视卫星业务和相关数字电视业务。本文主要阐述了基于FPGA实现DVB-S调制器的信道编码和调制,按功能对DVB-S信道编码过程进行模块分解、模块接口定义,针对每个模块进行工作原理分析、算法分析、HDL描述、时序仿真及FPGA实现;DVB-S调制器的核心是信道编码和调制部分,利用FPGA在数字信号处理方面的优势,本文重点对其中的几个关键模块,包括RS编码、卷积交织器和卷积穿孔编码等的实现算法进行了比较详细的分析,并通过HDL描述和时序仿真来验证算法正确性;对FPGA各模块的资源进行了估计、利用Altera公司的Cyclone器件的内部锁相环实现ASI信号的接收;最后对整机进行了测试,测试结果表明,本文设计的DVB-S调制器技术指标满足设计要求。

    标签: FPGA DVBS 信道编码 调制

    上传时间: 2013-04-24

    上传用户:gmh1314

  • 网格编码调制技术的FPGA实现

    在传统的数字传输系统中,纠错编码与调制是各自独立设计并实现的,译码与解调也是如此。80年代初,Ungerboeck根据调制解调与纠错编码的特点,提出了一种新的思想,称作网格编码调制,记为TCM。它是将调制解调与纠错编码当成一个整体来设计。它的中心思想是:采用编码方法将信号空间做最佳分割,使已调信号矢量端点间有最大的距离。这样就可以在相同发射功率、相同有效性的条件下提高信息传输的可靠性,特别适用于频带受限和功率受限信道。它在卫星通信和移动通信中的应用又使它成为研究热点。 本文介绍了TCM编码调制的基本原理,在此基础上提出了一种新的TCM编码的方法;介绍了卷积码Viterbi译码的基本原理和步骤,在此基础上分析了TCM的Viterbi译码的特点;研究了TCM在高斯白噪声条件下的误码性能及其编码增益,并在MATLAB上仿真来进行验证;介绍了数字逻辑设计的基本方法和流程,在此基础上介绍了基于FPGA的TCM系统的各个模块。

    标签: FPGA 网格编码 调制技术

    上传时间: 2013-07-26

    上传用户:13913148949

  • 基于FPGA的H264视频编码器设计

    随着多媒体编码技术的发展,视频压缩标准在很多领域都得到了成功应用,如视频会议(H.263)、DVD(MPEG-2)、机顶盒(MPEG-2)等等,而网络带宽的不断提升和高效视频压缩技术的发展使人们逐渐把关注的焦点转移到了宽带网络数字电视(IPTV)、流媒体等基于传输的业务上来。带宽的增加为流式媒体的发展铺平了道路,而高效的视频压缩标准的出台则是流媒体技术发展的关键。H.264/AVC是由国际电信联合会和国际标准化组织共同发展的下一代视频压缩标准之一。新标准中采用了新的视频压缩技术,如多模式帧间预测、1/4像素精度预测、整数DCT变换、变块尺寸运动补偿、基于上下文的二元算术编码(CABAC)、基于上下文的变长编码(CAVLC)等等,这些技术的采用大大提高了视频压缩的效率,更有利于宽带网络数字电视(IPTV)、流媒体等基于传输的业务的实现。 本文主要根据视频会议应用的需要对JM8.6代码进行优化,目标是实现基于Baseline的低复杂度的CIF编码器,并对部分功能模块进行电路设计。在设计方法上采用自顶向下的设计方法,首先对H.264编码器的C代码和算法进行优化,并对优化后的结果进行测试比较,结果显示在图像质量没有明显降低的情况下,H.264编码器编码CIF格式视频每秒达到15帧以上,满足了视频会议应用的实时性要求。然后,以C模型为参考对H.264编码器的部分功能模块电路进行设计。采用Verilog HDL实现了这些模块,并在Quartus Ⅱ中进行了综合、仿真、验证。主要完成了Zig-zag扫描和CAVLC模块的设计,详细说明模块的工作原理和过程,然后进行多组的仿真测试,结果与C模型相应部分的结果一致,证明了设计的正确性。

    标签: FPGA H264 视频编码器

    上传时间: 2013-06-11

    上传用户:kjgkadjg

  • 多种高效编码和调制技术

    本论文介绍了几种编码和调制技术的基本原理和课题的总体实现结构,重点分析和讨论了滚降系数可调的成形滤波、内插技术以及滤波器中乘法器、加法器的实现方法。通过外部控制器可对FPGA内部设计的多项参数进行设置,可支持32.000kbps~4.096Mbps范围内的多速率数据传输,适用于各种信道限带性能要求的传输系统。本论文使用一片FPGA芯片实现了信道编码(包括数据加扰、差分编码、卷积码、RS码、交织等)、多种调制方式(BPSK、QPSK、π/4-QPSK、TC8PSK、16QAM)、成形滤波器、多级内插、上变频器、具有连续/突发信号模式的数据源。将本论文的成果移植到某单位的信号源研制平台,基本上可以满足现阶段研制和维修解调设备对信号源的需求,因此具有较高的使用价值。

    标签: 编码 调制技术

    上传时间: 2013-07-26

    上传用户:feichengweoayauya

  • 基于FPGA的卷积编码和维特比译码

    在数字通信中,采用差错控制技术(纠错码)是提高信号传输可靠性的有效手段,并发挥着越来越重要的作用。纠错码主要有分组码和卷积码两种。在码率和编码器复杂程度相同的情况下,卷积码的性能优于分组码。 卷积码的译码方法主要有代数译码和概率译码。代数译码是基于码的代数结构;而概率译码不仅基于码的代数结构,还利用了信道的统计特性,能充分发挥卷积码的特点,使译码错误概率达到很小。 卷积码译码器的设计是由高性能的复杂译码器开始的,对于概率译码最初的序列译码,随着译码约束长度的增加,其译码错误概率可达到非常小。后来慢慢地向低性能的简单译码器演化,对不太长的约束长度,维特比(Viterbi)算法是非常实用的。维特比算法是一种最大似然的译码方法。当编码约束度不太大(小于等于10)或者误码率要求不太高(约10-5)时,Viterbi译码算法效率很高,速度很快,译码器也较简单。 目前,卷积码在数传系统,尤其是在卫星通信、移动通信等领域已被广泛应用。 本论文对卷积码编码和Viterbi译码的设计原理及其FPGA实现方案进行了研究。同时,将交织和解交织技术应用于编码和解码的过程中。 首先,简要介绍了卷积码的基础知识和维特比译码算法的基本原理,并对硬判决译码和软判决译码方法进行了比较。其次,讨论了交织和解交织技术及其在纠错码中的应用。然后,介绍了FPGA硬件资源和软件开发环境Quartus Ⅱ,包括数字系统的设计方法和设计规则。再有,对基于FPGA的维特比译码器各个模块和相应算法实现、优化进行了研究。最后,在Quartus Ⅱ平台上对硬判决译码和软判决译码以及有无交织等不同情况进行了仿真,并根据仿真结果分析了维特比译码器的性能。 分析结果表明,系统的误码率达到了设计要求,从而验证了译码器设计的可靠性,所设计基于FPGA的并行Viterbi译码器适用于高速数据传输的场合。

    标签: FPGA 卷积 编码 译码

    上传时间: 2013-04-24

    上传用户:zhenyushaw

  • 卷积编码和维特比译码的FPGA实现

    由于其很强的纠错性能和适合硬件实现的编译码算法,卷积编码和软判决维特比译码目前已经广泛应用于卫星通信系统。然而随着航天事业的发展,卫星有效载荷种类的增多和分辨率的不断提高,信息量越来越大。如何在低信噪比的功率受限信道条件下提高传输速率成为目前亟待解决的问题。本论文结合在研项目,在编译码算法、编译码器的设计与实现、编译码器性能提高三个方面对卷积编码和维特比译码进行了深入研究,并进一步介绍了使用VHDL语言和原理图混合输入的方式,实现一种(7,3/4)增信删余方式的高速卷积编码器和维特比译码器的详细过程;然后将设计下载到XILINX的Virtex2 FPGA内部进行功能和时序确认,最终在整个数据传输系统中测试其性能。本文所实现的维特比译码器速率达160Mbps,远远高于目前国内此领域内的相关产品速率。 首先,论文具体介绍了卷积编码和维特比译码的算法,研究卷积码的各种参数(约束长度、生成多项式、码率以及增信删余等)对其译码性能的影响;针对项目需求,确定卷积编码器的约束长度、生成多项式格式、码率和相应的维特比译码器的回归长度。 其次,论文介绍了编解码器的软、硬件设计和调试一根据已知条件,使用VHDL语言和原理图混合输入的方式设计卷积编码和维特比译码的源代码和原理图,分别采用功能和电路级仿真,确定卷积编码和维特比译码分别需要占用的资源,考虑卷积编码器和维特比译码器的具体设计问题,包括编译码的基本结构,各个模块的功能及实现策略,编译码器的时序、逻辑综合等;根据软件仿真结果,分别确定卷积编码器和维特比译码器的接口、所需的FPGA器件选型和进行各自的印制板设计。利用卷积码本身的特点,结合FPGA内部结构,采用并行卷积编码和译码运算,设计出高速编译码器;对软、硬件分别进行验证和调试,并将验证后的软件下载到FPGA进行电路级调试。 最后,论文讨论了卷积编码和维特比译码的性能:利用已有的测试设备在整个数据传输系统中测试其性能(与没有采用纠错编码的数传系统进行比对);在信道中加入高斯白噪声,模拟高斯信道,进行误码率和信噪比测试。

    标签: FPGA 卷积 编码 译码

    上传时间: 2013-04-24

    上传用户:mingaili888

  • H.264编码器帧内预测算法的研究

    目前,H.264是图像编码研究领域的一个热点。它在语言结构、预测算法、数据变换等方面做了很大的改进,在低码率传输、高清晰度显示及网络接入等性能上相比以往标准有了显著提高,使得H.264在视频会议、视频点播、数字电视和手...

    标签: 264 编码器 帧内预测 法的研究

    上传时间: 2013-05-27

    上传用户:jjj0202

  • H264视频编码器帧内预测系统设计

    H.264视频编解码标准以其高压缩比、高图像质量、良好的网络适应性等优点在数字电视广播、网络视频流媒体传输、视频实时通信等许多方面得到了广泛应用。提高H.264帧内预测的速度,对于实时性要求较高的场合具有重大的意义。为此,论文在总结国内外相关研究的基础上,针对H.264帧内预测的软件实现具有运算量大、实时性差等缺点,提出了一种基于FPGA的高并行、多流水线结构的帧内预测算法的硬件实现。    论文在详细阐述H.264帧内预测编码技术的基础上,分析了17种预测模式算法,通过Matlab仿真建模,直观地给出了预测模式的预测效果,并在JM12.2官方验证平台上测试比较各种预测模式对编码性能的影响,以此为根据对帧内预测模式进行裁剪。接着论文提出了基于FPGA的帧内预测系统的设计方案,将前段采集剑的RGB图像通过色度转换模块转换成YCbCr图像,存入片外SDRAM中,控制模块负责读写数掘送入帧内预测模块进行处理。帧内预测模块中,采用一种并行结构的可配置处理单元,即先求和再移位最后限幅的电路结构,来计算各预测模式下的预测值,极大地减小了预测电路的复杂度。针对预测模式选择算法,论文采用多模式并行运算的方法,即多个结构相同的残差计算模块,同时计算各种预测模式对应的SATD值,充分发挥FPGA高速并行处理的能力。其中Hadamard变换使用行列分离的变换方法,采用蝶形快速变换、流水线设计提高硬件的工作效率。最后,论文设计了LCD显示模块直观地显示所得到的最佳预测模式。    整个帧内预测系统被划分成多个功能模块,采用层次化、模块化的设计思想,并采用流水线结构和乒乓操作来提高系统的并行性、运行速度和总线利用率。所有模块用Verilog语言设计,由Modelsim仿真和集成开发环境ISE9.1综合。仿真与综合结果表明,系统时钟频率最高达到106.7MHz。该设计在完成功能的基础上,能够较好地满足实时性要求。论文对于研究基于FPGA的H.264视频压缩编码系统进行了有益的探索,具有一定的实用价值。

    标签: H264 视频编码器 帧内预测 系统设计

    上传时间: 2013-07-21

    上传用户:ABCD_ABCD

  • AVS音频编码中长短窗的Matlab仿真

    AVS音频编码中长短窗的Matlab仿真及FPGA实现

    标签: Matlab AVS 音频编码 仿真

    上传时间: 2013-04-24

    上传用户:tyg88888

  • 有线数字电视广播系统信道编码

    随着数字电视全国范围丌播时间表的临近,数字电视技术得到很大发展,数字电视信号在信源基带数据和信道传输等方面已经进一步标准化,数字电视传播途径也越来越广,在卫星、地面及有线电视网中传输数字电视信号得到迅速发展。借着2008年奥运的东风,数字电视领域的应用研究方兴未艾。 本课题目的是完成有线数字电视广播系统的重要设备--调制器的设计和实现,核心器件选用FPGA芯片。系统硬件实现以国家标准GY/T 170-2001(有线数字电视广播信道编码与调制规范)为主要依据,以Xilinx公司的Virtex系列(Virtex 4,Virtex 5)芯片及相关开发板(ML402、ML506)为平台,主要任务是基于相关标准对其实用技术进行研究和开发。完成了信道编码和调制的模块划分、Verilog HLD程序的编写(或IP核的调用)和仿真以及在板调试和联调等工作,设计目的是在提高整个系统集成度的前提下实现多频点调制。 本文在研究现有数字电视网络技术和相关产品的基础上,以国标GY/T170-2001为主要依据并参阅了其他的相关标准,提出了多频点QAM调制器的实现方案。整个工作包括:模块划分,完成了基带物理接口(输入)、包头反转与随机化、RS编码、卷积交织、码流变换、差分编码、星座映射、基带成型(包括Nyquist滤波器、半带滤波器、CIC滤波器的设计或模块调用)、高端DAC的配置(输出)等模块的Verilog HLD程序的编写(或者IP核调用)和仿真等工作;成功进行了开发板板级调试,调试的过程中充分利用Xilinx公司的开发板和调试软件ChipScope,成功设计了验证方案并进行了模块验证;最后进行了各模块联调工作,设计了系统验证方案并成功完成对整个系统的验证工作。 经测试表明,该系统主要性能达到国家相关标准GY/T 198-2003(有线数字电视广播QAM调制器技术要求和测量方法)规定的技术指标,可以进入样机试生产环节。

    标签: 有线数字电视 广播系统 信道编码

    上传时间: 2013-04-24

    上传用户:jiangfire