虫虫首页|资源下载|资源专辑|精品软件
登录|注册

压频转换器

  • orcad到power格式Dxdesigner转换器下载

    资料介绍说明 orcad到power格式Dxdesigner转换器下载,为绿色免安装版,下载后双击schcvt.exe,即可使用了,界面如下图所示

    标签: Dxdesigner orcad power 转换器

    上传时间: 2013-10-31

    上传用户:xinyuzhiqiwuwu

  • PCB Translator_CAMCAD转换器

    资料说明介绍 PCB Translator_CAMCAD转换器3.95版本,里面含CAMCAD_3.9.5a_crack文件,可以对软件进行破解 (需要安装PCB Translator后才能进行破解) 针对PCB设计文件的RSI转换器能够转换PCB设计和生产所需要的所有信息。它们包括:库,布置位置,插入属性信息,网表,走线,文字和铜箔,以及其它相关的项目。不需要执行"导入Gerber"和"交叉参考"就可以完成所有这些工作。事实上,根本不需要定义参考,因为软件可以从原始文件格式中提取出CAD数据,并把它直接输出到新的文件格式中。只需要注意CAD系统本身的限制就可以了。 CAMCAD PCB 转换器 CAMCAD PCB 转换器是一个功能完善的PCB CAD 转换器,图形用户界面也很浅显易懂。CAMCAD PCB 转换器支持大多数流行的CAD格式,比如Cadence Allegro, Orcad, Mentor and Accel EDA,也支持工业标准格式,比如GenCAM, GenCAD, and IPC-D-356.CAMCAD PCB 转换器允许导入CAD文件到CAMCAD图形用户环境中,校验数据,修改数据,然后可以把数据导出为任意格式的文件。这些特性意味着用户可以完全控制所有的事情,比如层的转换,也能解决CAD格式之间不兼容的问题。 一个案例,如果要转换Cadence Allegro文件到PADS,所有必须的设计信息都会包含在新的文件中。不过,Cadence Allegro允许板子上的铜箔重叠,PADS却不允许。Allegro 文件可以正常导入到CAMCAD。如果要立即把这个文件导出到PADS,程序会有错误提示。这时,可以使用CAMCAD的数据处理特性来改变有问题的铜箔,解决问题后再导出到PADS。 下面的矩阵表格,列出了CAMCAD PCB 转换器所支持的当前PCB的转换组合。Import Modules 一列中列出了可以被导入(读取)的所有ECAD文件格式。Export Modules一行中列出了可以被导出(写)的文件格式。在这个矩阵中的任意输入和输出模块组合转换都是可行的。当然,没有任何ECAD到ECAD的转换器是绝对完美的。由于ECAD layout系统有自己独特的特性,而这些可能不能直接转换到另一个有自己独特特性的ECAD系统中。 CAMCAD PCB 转换器支持的组合   建议配置:Windows 2000 或者 XP Professional,800 MHZ 处理器,512MB RAM 17"显示器,1024×768分辨率 Copyright 2004 Router Solutions Incorporated RSI Reserves the right to make changes to its specifications and products without prior notice. CAMCAD is a registered trademark of Router Solutions Incorporated. All rights reserved. RSI recognizes other brand and product names as trademarks or registered trademarks of their respective holders.  

    标签: Translator_CAMCAD PCB 转换器

    上传时间: 2014-07-31

    上传用户:Shaikh

  • 全能免费音频格式转换器

       全能音频格式转换器为歌迷朋友提供了优秀的音频格式转换解决方案,可以帮您实现不同音频格式的转换,从视频中提取音频转换为MP3、WMA、WAV、MP2、AAC、AMR等音频格式。    全能音频格式转换器可以从视频中提取音频,支持几乎所有的视频格式包括AVI、MPEG、WMV、ASF、FLV、MKV、MP4、3GP、H.264、DAT、VOB、MTS、F4V、MOD、TOD、DV、M2TS等视频格式。    您可以在转换输出音频时,自由设置音频采样率、音频品质等音频参数,指定时间转换输出喜欢的音频片段。提供免费下载旭日全能音频格式转换器,来体验一下高质量的音频转换。

    标签: 音频格式转换器

    上传时间: 2014-12-31

    上传用户:Aidane

  • PCB Translator_CAMCAD转换器

    资料说明介绍 PCB Translator_CAMCAD转换器3.95版本,里面含CAMCAD_3.9.5a_crack文件,可以对软件进行破解 (需要安装PCB Translator后才能进行破解) 针对PCB设计文件的RSI转换器能够转换PCB设计和生产所需要的所有信息。它们包括:库,布置位置,插入属性信息,网表,走线,文字和铜箔,以及其它相关的项目。不需要执行"导入Gerber"和"交叉参考"就可以完成所有这些工作。事实上,根本不需要定义参考,因为软件可以从原始文件格式中提取出CAD数据,并把它直接输出到新的文件格式中。只需要注意CAD系统本身的限制就可以了。 CAMCAD PCB 转换器 CAMCAD PCB 转换器是一个功能完善的PCB CAD 转换器,图形用户界面也很浅显易懂。CAMCAD PCB 转换器支持大多数流行的CAD格式,比如Cadence Allegro, Orcad, Mentor and Accel EDA,也支持工业标准格式,比如GenCAM, GenCAD, and IPC-D-356.CAMCAD PCB 转换器允许导入CAD文件到CAMCAD图形用户环境中,校验数据,修改数据,然后可以把数据导出为任意格式的文件。这些特性意味着用户可以完全控制所有的事情,比如层的转换,也能解决CAD格式之间不兼容的问题。 一个案例,如果要转换Cadence Allegro文件到PADS,所有必须的设计信息都会包含在新的文件中。不过,Cadence Allegro允许板子上的铜箔重叠,PADS却不允许。Allegro 文件可以正常导入到CAMCAD。如果要立即把这个文件导出到PADS,程序会有错误提示。这时,可以使用CAMCAD的数据处理特性来改变有问题的铜箔,解决问题后再导出到PADS。 下面的矩阵表格,列出了CAMCAD PCB 转换器所支持的当前PCB的转换组合。Import Modules 一列中列出了可以被导入(读取)的所有ECAD文件格式。Export Modules一行中列出了可以被导出(写)的文件格式。在这个矩阵中的任意输入和输出模块组合转换都是可行的。当然,没有任何ECAD到ECAD的转换器是绝对完美的。由于ECAD layout系统有自己独特的特性,而这些可能不能直接转换到另一个有自己独特特性的ECAD系统中。 CAMCAD PCB 转换器支持的组合   建议配置:Windows 2000 或者 XP Professional,800 MHZ 处理器,512MB RAM 17"显示器,1024×768分辨率 Copyright 2004 Router Solutions Incorporated RSI Reserves the right to make changes to its specifications and products without prior notice. CAMCAD is a registered trademark of Router Solutions Incorporated. All rights reserved. RSI recognizes other brand and product names as trademarks or registered trademarks of their respective holders.  

    标签: Translator_CAMCAD PCB 转换器

    上传时间: 2014-12-31

    上传用户:wvbxj

  • OEMax NX70 A_D转换器安装说明(中英版)

    OEMax NX70 A_D转换器安装说明(中英版)

    标签: OEMax A_D NX 70

    上传时间: 2013-10-17

    上传用户:playboys0

  • 基于FPGA的多路高速串并转换器设计

    高速串并转换器的设计是FPGA 设计的一个重要方面,传统设计方法由于采用FPGA 的内部逻辑资源来实现,从而限制了串并转换的速度。该研究以网络交换调度系统的FGPA 验证平台中多路高速串并转换器的设计为例,详细阐述了1 :8DDR 模式下高速串并转换器的设计方法和16 路1 :8 串并转换器的实现。结果表明,采用Xilinx Virtex24 的ISERDES 设计的多路串并转换器可以实现800 Mbit/ s 输入信号的串并转换,并且减少了设计复杂度,缩短了开发周期,能满足设计要求。关键词:串并转换;现场可编程逻辑阵列;Xilinx ; ISERDES

    标签: FPGA 多路 串并转换

    上传时间: 2013-11-17

    上传用户:hxy200501

  • 差压变送器工作原理及故障诊断

    差压变送器工作原理及故障诊断

    标签: 差压变送器 工作原理 故障诊断

    上传时间: 2013-11-12

    上传用户:wangw7689

  • 12位ad转换器与单片机的接口电路设计

    12位ad转换器与单片机的接口电路设计

    标签: 转换器 单片机 接口 电路设计

    上传时间: 2013-10-13

    上传用户:xiaowei314

  • 蓝宙电子USB-LIN总线转换器产品宣传手册

    蓝宙电子USB-LIN总线转换器概况: USB-LIN总线转换器是蓝宙电子专为汽车电子及工业控制应用开发的USB-LIN总线双向转换器。该产品可实现电脑与LIN总线系统的连接,可作为各种LIN总线系统的主节点发送帧头及LIN报文;也可以作为LIN从节点响应主节点的数据请求;还可以作为LIN监视器监测记录LIN总线报文。配套的上位机软件LIN View可以方便的将USB-LIN总线转换器配置成主节点或从节点,可以添加节点报文发送序列,可以查看LIN报文。为客户提供用于二次开发的dll文件,客户可以基于此文件可开发出不同领域、不同应用的软件。

    标签: USB-LIN 蓝宙电子 总线转换器

    上传时间: 2014-01-13

    上传用户:hxy200501

  • 又一个Pascal 到C的转换器

    又一个Pascal 到C的转换器

    标签: Pascal 转换器

    上传时间: 2015-01-03

    上传用户:lepoke