虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 并串转换器:将并行输入的信号以串行方式输出

并串转换器:将并行输入的信号以串行方式输出

资 源 简 介

并串转换器:将并行输入的信号以串行方式输出,这里要注意需先对时钟进行分频,用得到的低频信号控制时序,有利于观察结果(可以通过L灯观察结果)

相 关 资 源