虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 一个用vHDL语言编的同步程序

一个用vHDL语言编的同步程序

  • 资源大小:205 K
  • 上传时间: 2017-09-20
  • 上传用户:yashashi
  • 资源积分:2 下载积分
  • 标      签: vHDL 语言 程序

资 源 简 介

一个用vHDL语言编的同步程序,对图像处理人员有帮助哦

相 关 资 源