虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 用TCD1501D驱动器产生CCD驱动的6个输出信号RS、CP、SP、SH以及Φ1、Φ2脉冲

用TCD1501D驱动器产生CCD驱动的6个输出信号RS、CP、SP、SH以及Φ1、Φ2脉冲

  • 资源大小:148 K
  • 上传时间: 2017-08-15
  • 上传用户:pipinooad
  • 资源积分:2 下载积分
  • 标      签: 1501D 1501 TCD CCD

资 源 简 介

用TCD1501D驱动器产生CCD驱动的6个输出信号RS、CP、SP、SH以及Φ1、Φ2脉冲

相 关 资 源