虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 基于Quartus II的数控分频器的项目设计

基于Quartus II的数控分频器的项目设计

资 源 简 介

基于Quartus II的数控分频器的项目设计,实现对时钟信号的任意进制分频,包含了项目文件和VHDL源代码

相 关 资 源