虫虫首页|资源下载|资源专辑|精品软件
登录|注册

LT码

  • <1> 优化了部分代码及一些BUG.提高了浏览速度 <2> 可以通过会员助手自由管理各种信息 <3> 修正了反馈信息及询价订单错误 <4>

    <1> 优化了部分代码及一些BUG.提高了浏览速度 <2> 可以通过会员助手自由管理各种信息 <3> 修正了反馈信息及询价订单错误 <4> 增加了自助建站系统(16种模板可选) <5> 增加在线管理开通域名主机邮局系统 <6> 强大的备份功能,可以轻松备份压缩恢复数据 <7> 后台增加验证码和日志功能 <8> 分类管理更详细.更安全 <9> 其它功能开发中... <10> 详细说明文档请索取... <11> 发现什么错误请与我联系!多谢啦! 

    标签: lt gt BUG

    上传时间: 2017-01-03

    上传用户:dongbaobao

  • 在S3C2440上运行的“俄罗斯方块”源码 代码量小

    在S3C2440上运行的“俄罗斯方块”源码 代码量小,< 500行. 为了方便各位移植到其它平台,本游戏没有使用窗口管理和消息机制. 可使用触摸屏或电脑键盘直接控制游戏,支持连续按键响应(500ms).

    标签: S3C2440 运行 俄罗斯方块 代码量

    上传时间: 2013-12-24

    上传用户:2404

  • 喷泉码及其在协作通信中的应用研究

    喷泉码及其在协作通信中的应用研究 RAPTOR,LT编码解码算法

    标签: 喷泉码及其在协作通信中的应用研究

    上传时间: 2015-05-29

    上传用户:593861051

  • FPGA片内FIFO读写测试Verilog逻辑源码Quartus工程文件+文档说明 使用 FPGA

    FPGA片内FIFO读写测试Verilog逻辑源码Quartus工程文件+文档说明,使用 FPGA 内部的 FIFO 以及程序对该 FIFO 的数据读写操作。FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////module fifo_test( input clk,           //50MHz时钟 input rst_n              //复位信号,低电平有效 );//-----------------------------------------------------------localparam      W_IDLE      = 1;localparam      W_FIFO     = 2; localparam      R_IDLE      = 1;localparam      R_FIFO     = 2; reg[2:0]  write_state;reg[2:0]  next_write_state;reg[2:0]  read_state;reg[2:0]  next_read_state;reg[15:0] w_data;    //FIFO写数据wire      wr_en;    //FIFO写使能wire      rd_en;    //FIFO读使能wire[15:0] r_data; //FIFO读数据wire       full;  //FIFO满信号 wire       empty;  //FIFO空信号 wire[8:0]  rd_data_count;  wire[8:0]  wr_data_count;  ///产生FIFO写入的数据always@(posedge clk or negedge rst_n)begin if(rst_n == 1'b0) write_state <= W_IDLE; else write_state <= next_write_state;endalways@(*)begin case(write_state) W_IDLE: if(empty == 1'b1)               //FIFO空, 开始写FIFO next_write_state <= W_FIFO; else next_write_state <= W_IDLE; W_FIFO: if(full == 1'b1)                //FIFO满 next_write_state <= W_IDLE; else next_write_state <= W_FIFO; default: next_write_state <= W_IDLE; endcaseendassign wr_en = (next_write_state == W_FIFO) ? 1'b1 : 1'b0; always@(posedge clk or negedge rst_n)begin if(rst_n == 1'b0) w_data <= 16'd0; else    if (wr_en == 1'b1)     w_data <= w_data + 1'b1; else          w_data <= 16'd0; end///产生FIFO读的数据always@(posedge clk or negedge rst_n)begin if(rst_n == 1'b0) read_state <= R_IDLE; else read_state <= next_read_state;endalways@(*)begin case(read_state) R_IDLE: if(full == 1'b1)               //FIFO满, 开始读FIFO next_read_state <= R_FIFO; else next_read_state <= R_IDLE; R_FIFO: if(empty == 1'b1)   

    标签: fpga fifo verilog quartus

    上传时间: 2021-12-18

    上传用户:20125101110

  • 宏晶 STC15F2K60S2开发板配套软件源码 基础例程30例

    宏晶 STC15F2K60S2开发板配套软件源码 基础例程30例/**********************基于STC15F2K60S2系列单片机C语言编程实现使用如下头文件,不用另外再包含"REG51.H"#include <STC15F2K60S2.h>***********************/#include "STC15F2K60S2.H"//#include "REG51.H" //sfr P4   = 0xC0;#define  uint unsigned int  #define  uchar unsigned char  /**********************引脚别名定义***********************/sbit SEL=P4^3; // LED和数码管选择引脚 高:LED有效 低:数码管有效 // SEL连接的单片机引脚必须为带有上拉电阻的引脚 或将其直接连接VCC#define data P2 // 数据输入定义  /**********************函数名称:Delay_1ms功能描述:延时入口参数:unsigned int t 表示要延时t个1ms 出口参数:无备注:通过参数t,控制延时的时间长短***********************/void Delay_1ms(uint t){ uchar j;   for(;t>0;t--)       for(j=110;j>0;j--)     ;}/**********************函数名称:Led_test功能描述:对8个二极管进行测试,依次轮流点亮8个二极管入口参数:无出口参数:无备注:  ***********************/void Led_test(){    uchar G_value=0x01; // 给变量赋初值 SEL=1;    //高电平LED有效   while(1) { data=G_value; Delay_1ms(10000); G_value=G_value<<1; if(G_value==0x00) { data=G_value; Delay_1ms(10000); G_value=0x01;      } }}/***********************主函数************************/void main(){ ///////////////////////////////////////////////// //注意: STC15W4K32S4系列的芯片,上电后所有与PWM相关的IO口均为 //      高阻态,需将这些口设置为准双向口或强推挽模式方可正常使用 //相关IO: P0.6/P0.7/P1.6/P1.7/P2.1/P2.2 //        P2.3/P2.7/P3.7/P4.2/P4.4/P4.5 ///////////////////////////////////////////////// P4M1=0x00; P4M0=0x00; P2M0=0xff; P2M1=0x00; //将P2设为推挽 Led_test();  }

    标签: STC15F2K60S2

    上传时间: 2022-05-02

    上传用户:ibeikeleilei

  • VIP专区-嵌入式/单片机编程源码精选合集系列(9)

    VIP专区-嵌入式/单片机编程源码精选合集系列(9)资源包含以下内容:1. AT91M42800A-LEDSWING例子.2. AT91M5800a例子.3. 嵌入式开发网的论坛精华.4. 嵌入式多任务实时操作系统培训教材.5. lwip ---vc移植.6. 51多任务实验程式.7. 多任务实验程式1.8. JTAG仿真器CPLD.9. 一个keyboard的源代码.10. 关于lcd数显的源程序.11. 8段数码管的源代码.12. lcd显示屏的英文显示.13. kernel uclibc bootloader 的编译方法.14. C语言精彩编程百例1.15. 万年历(C语言编的程序打印的万年历和程序原代码).16. 一个适合在嵌入式小系统应用的TCPIP源码.17. minix rtos 测试代码.18. C语言学习300例 ,详细的代码和解说.19. lcd液晶显示.20. 嵌入式开发程序设计.21. 串行存储器拷贝器.22. 555电路集.23. 串口中断服务函数集,好.24. Hex文件格式资料中英文对照.25. 大型表格的读取 说明及源程序.26. 端口操作源程序(8255或其它端口).27. ZLG7289C语言驱动程序,好用!.28. tlc1549驱动(C代码),测试可用!.29. 1N系列稳压二极管参数.30. bootloader.31. cs8900网络驱动.32. 文件系统源码(汇编).33. usbHOST芯片与51的文件系统.34. USB接口芯片CH375HM.35. USB接口芯片文件接口模块CH375EVT.36. S3C44b0原理图.37. 嵌入式系统内存管理方法.38. 嵌入式InterBase.39. 16进制<->10进制互换程序.40. 24c01-24c16读写驱动程序.

    标签: 电工学 精品课

    上传时间: 2013-07-24

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(14)

    VIP专区-嵌入式/单片机编程源码精选合集系列(14)资源包含以下内容:1. 串口通讯底层软件.2. 用iccavr编写的at90s8515驱动19264液晶片的源程序avr192.3. uC/OS II 在H8/2134平台上的移植代码.4. 嵌入式原理作业嵌入式原理及应用试题.5. 嵌入式原理作业嵌入式专业词汇.6. U-Boot学习文档.7. 嵌入式mp3解码程序.8. 用75SL164实现流水灯的控制。.9. intel 196ea 例程.10. intel 196nt 例程.11. LPC932的CCU应用.12. P89LPC932的ISP使用.13. LPC932附加EEPROM操作.14. LPC932的SPI接口应用.15. 嵌入式系统中中断处理程序.16. 嵌入式系统中的数码管显示.17. 用于对udma控制器的编程.18. 44780LCD驱动源程序.19. 控制T6939例子程序.20. F206的液晶模块显示程序.21. 16进制<->10进制互换程序.22. 这是一个软件红外线接收程序.23. WinCe下实现多线程.24. WinCE下的自绘按钮.25. WinCE下的可更改背景颜色和字体颜色的编辑控件.26. WinCe下的字绘Combo控件.27. 嵌入式软件开发.28. WinCe下串行通讯的实现.29. FPGA研讨会的一些问题集!.30. NE2000的驱动.31. 射频遥控器串行通讯程序.32. ALTERA的NIOS处理器!文件直接可以打开直接选择器件重新编译!.33. 日历时钟模块.34. I2C总线驱动程序(用两个普通IO模拟I2C总线).35. 经典嵌入式论文.36. 经典嵌入式论文.37. 经典嵌入式论文.38. simcom100 GPRS 模块源程序.39. c8051f020LCD驱动.40. msc1201 编程1.

    标签: 精密 机构

    上传时间: 2013-05-16

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(39)

    VIP专区-嵌入式/单片机编程源码精选合集系列(39)资源包含以下内容:1. 这是有关SPI总线的一段读写程序.2. 这是一段MAX7219的驱动C51源程序.3. 利用msp430的通用I/O端口模拟I2c协议的源代码.4. 超级下载软件(progisp ver1.1)包括并口下载器与usb isp下载器的详细制作资料.5. MCS51产单片机上实现的tcp/ip,很全的哦,需要的可以参考一下..6. 嵌入式系统图形用户界面编程.7. 05嵌入式大会的部分演讲稿.8. 51系列对CH375模块读写.9. test for boundary scan and CPLD ics..10. 这是我个人再学习ARM7s3c2410的 时候用到的试验代码.11. 本人水品有限.12. 是在不好意思.13. 入门试验代码.14. pxros的使用说明.15. 在NIOS中利用C语言模拟I2C总线时序.16. ALTERA NIOS处理器实验.17. ALTERA NIOS处理器实验.18. ALTERA NIOS处理器实验.19. ALTERA NIOS处理器实验.20. ALTERA NIOS处理器实验.21. 随着高性能计算的需求.22. ADS1.2是一个使用方便的集成开发环境.23. AVR单片机嵌入式操作系统原代码.24. 有关rtos的书.25. 重要的汇编语言编程......和大家一起分享.26. AT91M55800A材料-BasicTimer.27. I2c代码.28. WINDOWS系统下灰度的BMP图片转换成黑白图片..29. 在WINDOWS CE.NET 系统中读RDP连接的用户名的密码..30. 嵌入式实时操作系统μCOS-在ARM上的移植应用.31. 在s3c44b0x运行的俄罗斯方块游戏 DOS参考代码.32. uCOS-II在C51下的一个完整的LCD项目源码.33. 石子归并问题:在一个圆形操场的四周摆放着N堆石子(N<= 100),现要将石子有次序地合并成一堆.规定每次只能选取相邻的两堆合并成新的一堆,并将新的一堆的石子数,记为该次合并的得分.编一程序,由.34. 单片机及嵌入式系统web实现的文章 很好的:MCU应用系统与Internet连接的一种新技术.35. 单片机发展趋势的文章: 从Cygnal C8051F看8位单片机发展之路 好.36. usb host在ARM7上的实现.37. 对arm300的一些简单的试验做了详细地说明和讲解.38. arm技术手册.39. arm7上开发usb的文档,说得非常好.40. 一个关于s1d13806的应用程序.

    标签: 机构 机械手

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(42)

    VIP专区-嵌入式/单片机编程源码精选合集系列(42)资源包含以下内容:1. msp430MODEM数据传输的通信系统.2. 凌阳单片机的数码管显示程序.3. 在fpga上关于nios开发版的测试文件.4. 关于fpga的nios开发版的led的硬件测试.5. 嵌入式开发板451的butlod.6. Your-MTD 供大家参考使用.7. 详细说明了datesheet的使用.8. 令AVR ATMEGA16进入睡眠状态及唤醒的例程(转贴).9. 51单片机很精彩的实例.10. 设计出优秀fpga程序的十条戒律.11. c和c++嵌入式编程入门的一本好书,值得一看.12. ST7920液晶显示控制器的驱动编程.13. 很全的文件系统,完整支持FAT12,FAT 16,FAt32,s市面还有书籍支持.14. 完整的飞LPC213X的modem源码,支持UCOS系统很好的参考代码.15. ZNE-100T增强型嵌入式以太网转串口模块,LPC213XDEMO板源码.16. RC5000读卡芯片和LPC213X的源码参考程序 内含详细说明.17. CF卡开发详细资料.18. 别人的开发自己的plc.19. 遥控程序,51单片机的汇编语言控制程序,实现远距离控制.20. 用51单片机实现LED的显示,由于此程序经常甬道,具有一定的 参考价值.21. 电机PWM控制,用单片机实现对电机的控制,由于用PWM很省电,故建议采用此法.22. < 嵌入式系统编程>>源代码解析光盘,包括这本书各章节的示例代码(无密码).23. 用DSP实现最小二乘法。可对测量得到的数据进行处理.24. MAXII-PCI接口CORE,MAXII-PCI接口CORE[分享].25. TLV2544-2548多通道12位串行A-D转换器的原理与应用.26. arm9的说明书 强烈推荐 好不好看过才知道.27. 用2051加热敏电阻做的温度计!有很多不足的地方!请大家修改!.28. flash烧写程序.29. 44b0平台.30. 中文字库液晶演示程序 谁需要拿去.31. zigbee协议.32. zigbee协议栈的源代码.33. 字体缩放显示.34. 手机模拟器.35. 这是用C写的读取温度传感器DS18B20.36. 嵌入式minigui开发是一个关于listview的实现可用于图形界面中。.37. ce下的客户端程序 可以传送文件的.38. u盘读写模块.C51单片机与USB接口芯片对U盘进行文件读写,支持FAT8,FAT16和FAT32磁盘格式..39. uC_OSII移植源码lumit_Ucosii_110.src.40. sygnal 08051f020 液晶显示的c语言程序.

    标签: 1069 2007 JJF 计量检定

    上传时间: 2013-07-21

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(90)

    VIP专区-嵌入式/单片机编程源码精选合集系列(90)资源包含以下内容:1. 我们公司控制电泳设备运行的程序.2. A04_AD模数转换.3. 常用 ARM 指令集以及汇编语言 描述ARM各种指令寻址方式.4. 一款芯片AT89S51的介绍.5. 步进电机伺服电机控制板简介.6. 混合式步进电机SPWM微步驱动技术的研究.7. 一款步进电机驱动芯片.8. quartus2编译环境 最新的cpld开发环境.9. TG19264A接口程序(AVR模拟方式) 连线图 连线图: LCM------S8515* *LCM----S8515* *LCM-------S8515* *LCM------S8515.10. IC卡读卡器程序.是一个非常好的程序.11. 一款不错的字模软件,可大大节省工程人员的开发时间!很不错哦!.12. LCD多级菜单C51程序 LCD多级菜单C51程序.13. C51四相步进电机驱动 C51四相步进电机驱动.14. 可实现任意一位小数分频,在quartus II中仿真验证通过,输入端N为分频系数的十位数,X为分频系数的个位数..15. 这是我做的可变程放大器.16. EDA技术应用.用QUARTUES II 实现EDA技术实验操作,类似于精典的MAX+PLUS.17. 这个是用VC编的关于LDPC码方面的应用程序,很全的,包括编码译码等方面的仿真.18. oled驱动IC.19. 自适应滤波中128ms回声消除VC6.0程序.20. 嵌入perl开发。关于perl应用的好书。.21. 本课程设计主要解决用CPLD芯片编程.22. T6963C(LCD芯片)操作程序(c),很好的源程序代码.23. DSPIC30源程序例子文件,需要的可以.24. 一个C51串口通讯程序,学习串口通讯的经典源码..25. 可综合Verilog风格,英文版,50页.初学必读..26. <系统时序基础理论.doc>,9页 虽然简短,但从其文其图及其公式可以看出,是CPLD/FPGA设计的必修课..27. DMX512接收程序.28. 完成ADC对模拟信号进行采样.29. 当AIN0引脚上模拟采样电压高于AIN1上模拟采样电压时.30. 实现10000进制(0000~9999)加/减计数.31. T/C2工作在异步模式下.32. AT90S8535内有512B的EEPROM用于存放数据和表格.33. 本人作的全部ICD2。5 资料.34. pic18f4550 作的USB BULK传输.35. 以实用电子技术为主,内容涵盖电子设计与制作,EDA软件应用,电子工艺,电子元器件等方面,同时它还白日做梦部分设计原文件,譬如原程序,软件流程图,电原理图,等方便大家学习利用.36. 以实用电子技术为主,内容涵盖电子设计与制作,EDA软件应用,电子工艺,电子元器件等方面,同时它还白日做梦部分设计原文件,譬如原程序,软件流程图,电原理图,等方便大家学习利用.37. 以实用电子技术为主,内容涵盖电子设计与制作,EDA软件应用,电子工艺,电子元器件等方面,同时它还白日做梦部分设计原文件,譬如原程序,软件流程图,电原理图,等方便大家学习利用之三.38. 以实用电子技术为主,内容涵盖电子设计与制作,EDA软件应用,电子工艺,电子元器件等方面,同时它还白日做梦部分设计原文件,譬如原程序,软件流程图,电原理图,等方便大家学习利用之四.39. 这是一个点阵电子钟的资料.40. 非常好用的真有效值转换芯片.

    标签: 机械设计

    上传时间: 2013-06-30

    上传用户:eeworm