虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > 教程资料 > verilog编写基于FPGA的示波器核心实现

verilog编写基于FPGA的示波器核心实现

  • 资源大小:992 K
  • 上传时间: 2013-08-19
  • 上传用户:zxyxwd6
  • 资源积分:2 下载积分
  • 标      签: verilog FPGA 编写 示波器

资 源 简 介

verilog编写基于FPGA的示波器核心实现

相 关 资 源