虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > matlab例程 > 用VHDL语言实现了DES加密算法

用VHDL语言实现了DES加密算法

  • 资源大小:10 K
  • 上传时间: 2013-12-01
  • 上传用户:foreverxiluzai
  • 资源积分:2 下载积分
  • 标      签: VHDL DES 语言 加密算法

资 源 简 介

用VHDL语言实现了DES加密算法,其中包含了测试程序,能够进行仿真。

相 关 资 源