虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 根据TLC7524输出控制时序

根据TLC7524输出控制时序

  • 资源大小:2 K
  • 上传时间: 2013-12-28
  • 上传用户:li444255
  • 资源积分:2 下载积分
  • 标      签: 7524 TLC 输出 控制

资 源 简 介

根据TLC7524输出控制时序,利用接口电路图,通过改变输出数据,设计一个正弦波发生器。TLC7524是8位的D/A转换器,转换周期为 ,所以锯齿波型数据有256个点构成,每个点的数据长度为8位。.FPGA的系统时钟为 ,通过对其进行5分频处理,得到频率为 的正弦波

相 关 资 源