虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 利用VHDL语言实现FPGA的PWM输出波形

利用VHDL语言实现FPGA的PWM输出波形

  • 资源大小:37 K
  • 上传时间: 2016-06-16
  • 上传用户:leeixndong
  • 资源积分:2 下载积分
  • 标      签: VHDL FPGA PWM 语言

资 源 简 介

利用VHDL语言实现FPGA的PWM输出波形,占空比可控

相 关 资 源