虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 通用串行异步收发器8251的Verilog HDL源代码,经过仿真验证。

通用串行异步收发器8251的Verilog HDL源代码,经过仿真验证。

  • 资源大小:16 K
  • 上传时间: 2015-11-21
  • 上传用户:AhQ
  • 资源积分:2 下载积分
  • 标      签: Verilog 8251 HDL 串行

资 源 简 介

通用串行异步收发器8251的Verilog HDL源代码,经过仿真验证。

相 关 资 源