虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > MASK调制VHDL程序与仿真 基于VHDL硬件描述语言

MASK调制VHDL程序与仿真 基于VHDL硬件描述语言

  • 资源大小:39 K
  • 上传时间: 2015-06-08
  • 上传用户:supertruman
  • 资源积分:2 下载积分
  • 标      签: VHDL MASK 调制 程序

资 源 简 介

MASK调制VHDL程序与仿真 基于VHDL硬件描述语言,对基带信号进行MASK调制

相 关 资 源