虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > 文件格式 > 摘要 探讨了IP 核的验证与测试的方法及其和 VHDL语言在 IC 设计中的应用 并给出了其在RISC8 框架 CPU 核中的下载实例.

摘要 探讨了IP 核的验证与测试的方法及其和 VHDL语言在 IC 设计中的应用 并给出了其在RISC8 框架 CPU 核中的下载实例.

  • 资源大小:117 K
  • 上传时间: 2014-07-10
  • 上传用户:leeixndong
  • 资源积分:2 下载积分
  • 标      签: RISC8 VHDL CPU IC

资 源 简 介

摘要 探讨了IP 核的验证与测试的方法及其和 VHDL语言在 IC 设计中的应用 并给出了其在RISC8 框架 CPU 核中的下载实例.

相 关 资 源