虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/Verilog/EDA源码 > 布斯乘法器

布斯乘法器

资 源 简 介

booth算法通过移位运算代替某些加法运算提高乘法器的运算速度,是一种补码乘法的算法。包含乘法器模块和测试模块。

相 关 资 源