虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/Verilog/EDA源码 > 异步通信起始位正确检测的VHDL实现

异步通信起始位正确检测的VHDL实现

  • 资源大小:143 K
  • 上传时间: 2013-06-06
  • 上传用户:bling
  • 资源积分:2 下载积分
  • 标      签: VHDL 异步通信 检测

资 源 简 介

异步通信起始位正确检测的VHDL实现,图式基本格式,详解设计过程。

相 关 资 源

您 可 能 感 兴 趣 的